hub75_scan.v 3.3 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148
  1. /*
  2. * hub75_scan.v
  3. *
  4. * vim: ts=4 sw=4
  5. *
  6. * Copyright (C) 2019 Sylvain Munaut <tnt@246tNt.com>
  7. * All rights reserved.
  8. *
  9. * LGPL v3+, see LICENSE.lgpl3
  10. *
  11. * This program is free software; you can redistribute it and/or
  12. * modify it under the terms of the GNU Lesser General Public
  13. * License as published by the Free Software Foundation; either
  14. * version 3 of the License, or (at your option) any later version.
  15. *
  16. * This program is distributed in the hope that it will be useful,
  17. * but WITHOUT ANY WARRANTY; without even the implied warranty of
  18. * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
  19. * Lesser General Public License for more details.
  20. *
  21. * You should have received a copy of the GNU Lesser General Public License
  22. * along with this program; if not, write to the Free Software Foundation,
  23. * Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301, USA.
  24. */
  25. `default_nettype none
  26. module hub75_scan #(
  27. parameter integer N_ROWS = 32,
  28. parameter SCAN_MODE = "ZIGZAG", // 'LINEAR' or 'ZIGZAG'
  29. // Auto-set
  30. parameter integer LOG_N_ROWS = $clog2(N_ROWS)
  31. )(
  32. // BCM interface
  33. output wire [LOG_N_ROWS-1:0] bcm_row,
  34. output wire bcm_row_first,
  35. output wire bcm_go,
  36. input wire bcm_rdy,
  37. // Frame buffer read interface
  38. output wire [LOG_N_ROWS-1:0] fb_row_addr,
  39. output wire fb_row_load, // Back-buffer load request
  40. input wire fb_row_rdy, // Back-buffer loaded
  41. output wire fb_row_swap, // Buffer swap
  42. // Control
  43. input wire ctrl_go,
  44. output wire ctrl_rdy,
  45. // Clock / Reset
  46. input wire clk,
  47. input wire rst
  48. );
  49. // Signals
  50. // -------
  51. // FSM
  52. localparam
  53. ST_IDLE = 0, // Idle
  54. ST_LOAD = 1, // Load back-buffer with next-row
  55. ST_WAIT = 2, // Wait for back-buffer & BCM to be ready
  56. ST_PAINT = 3; // Swap buffer, issue BCM paint, go to next row
  57. reg [1:0] fsm_state;
  58. reg [1:0] fsm_state_next;
  59. // Row counter
  60. reg [LOG_N_ROWS-1:0] row;
  61. reg row_first;
  62. reg row_last;
  63. // FSM
  64. // ---
  65. // State register
  66. always @(posedge clk or posedge rst)
  67. if (rst)
  68. fsm_state <= ST_IDLE;
  69. else
  70. fsm_state <= fsm_state_next;
  71. // Next-State logic
  72. always @(*)
  73. begin
  74. // Default is to not move
  75. fsm_state_next = fsm_state;
  76. // Transitions ?
  77. case (fsm_state)
  78. ST_IDLE:
  79. if (ctrl_go)
  80. fsm_state_next = ST_LOAD;
  81. ST_LOAD:
  82. fsm_state_next = ST_WAIT;
  83. ST_WAIT:
  84. if (bcm_rdy & fb_row_rdy)
  85. fsm_state_next = ST_PAINT;
  86. ST_PAINT:
  87. fsm_state_next = row_last ? ST_IDLE : ST_LOAD;
  88. endcase
  89. end
  90. // Row counter
  91. // -----------
  92. always @(posedge clk)
  93. if (fsm_state == ST_IDLE) begin
  94. row <= 0;
  95. row_first <= 1'b1;
  96. row_last <= 1'b0;
  97. end else if (fsm_state == ST_PAINT) begin
  98. if (SCAN_MODE == "ZIGZAG") begin
  99. row <= ~(row + {LOG_N_ROWS{row[LOG_N_ROWS-1]}});
  100. row_first <= 1'b0;
  101. row_last <= (row == {1'b0, {(LOG_N_ROWS-1){1'b1}}});
  102. end else begin
  103. row <= row + 1;
  104. row_first <= 1'b0;
  105. row_last <= (row == {{(LOG_N_ROWS-1){1'b1}}, 1'b0});
  106. end
  107. end
  108. // External interfaces
  109. // -------------------
  110. // BCM
  111. assign bcm_row = row;
  112. assign bcm_row_first = row_first;
  113. assign bcm_go = (fsm_state == ST_PAINT);
  114. // Frame Buffer pre loader
  115. assign fb_row_addr = row;
  116. assign fb_row_load = (fsm_state == ST_LOAD);
  117. assign fb_row_swap = (fsm_state == ST_PAINT);
  118. // Ready signal
  119. assign ctrl_rdy = (fsm_state == ST_IDLE);
  120. endmodule // hub75_scan