hram_top_tb.v 6.7 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319
  1. /*
  2. * hram_top_tb.v
  3. *
  4. * vim: ts=4 sw=4
  5. *
  6. * Copyright (C) 2020 Sylvain Munaut <tnt@246tNt.com>
  7. * All rights reserved.
  8. *
  9. * BSD 3-clause, see LICENSE.bsd
  10. *
  11. * Redistribution and use in source and binary forms, with or without
  12. * modification, are permitted provided that the following conditions are met:
  13. * * Redistributions of source code must retain the above copyright
  14. * notice, this list of conditions and the following disclaimer.
  15. * * Redistributions in binary form must reproduce the above copyright
  16. * notice, this list of conditions and the following disclaimer in the
  17. * documentation and/or other materials provided with the distribution.
  18. * * Neither the name of the <organization> nor the
  19. * names of its contributors may be used to endorse or promote products
  20. * derived from this software without specific prior written permission.
  21. *
  22. * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
  23. * ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
  24. * WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
  25. * DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY
  26. * DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
  27. * (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
  28. * LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
  29. * ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
  30. * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
  31. * SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
  32. */
  33. `default_nettype none
  34. `timescale 1ns / 100ps
  35. module hram_top_tb;
  36. // Signals
  37. // -------
  38. // HyperRAM pins
  39. wire [7:0] hram_dq;
  40. wire hram_rwds;
  41. wire hram_ck;
  42. wire [3:0] hram_cs_n;
  43. wire hram_rst_n;
  44. // Memory interface
  45. wire [ 1:0] mi_addr_cs;
  46. reg [31:0] mi_addr;
  47. reg [ 6:0] mi_len;
  48. reg mi_rw;
  49. wire mi_linear;
  50. reg mi_valid;
  51. wire mi_ready;
  52. reg [31:0] mi_wdata;
  53. wire [ 3:0] mi_wmsk;
  54. wire mi_wack;
  55. wire [31:0] mi_rdata;
  56. wire mi_rstb;
  57. // Wishbone interface
  58. reg [31:0] wb_wdata;
  59. wire [31:0] wb_rdata;
  60. reg [ 3:0] wb_addr;
  61. reg wb_we;
  62. reg wb_cyc;
  63. wire wb_ack;
  64. // Clocks / Sync
  65. wire [3:0] clk_read_delay;
  66. reg pll_lock = 1'b0;
  67. wire clk_slow;
  68. reg clk_fast = 1'b0;
  69. reg clk_read = 1'b0;
  70. reg clk_sync;
  71. wire rst;
  72. reg rst_div;
  73. reg [1:0] clk_div;
  74. reg [3:0] rst_cnt = 4'h8;
  75. // Recording setup
  76. // ---------------
  77. initial begin
  78. $dumpfile("hram_top_tb.vcd");
  79. $dumpvars(0,hram_top_tb);
  80. end
  81. // DUT
  82. // ---
  83. hram_top dut_I (
  84. .hram_dq(hram_dq),
  85. .hram_rwds(hram_rwds),
  86. .hram_ck(hram_ck),
  87. .hram_cs_n(hram_cs_n),
  88. .hram_rst_n(hram_rst_n),
  89. .mi_addr_cs(mi_addr_cs),
  90. .mi_addr(mi_addr),
  91. .mi_len(mi_len),
  92. .mi_rw(mi_rw),
  93. .mi_linear(mi_linear),
  94. .mi_valid(mi_valid),
  95. .mi_ready(mi_ready),
  96. .mi_wdata(mi_wdata),
  97. .mi_wmsk(mi_wmsk),
  98. .mi_wack(mi_wack),
  99. .mi_rdata(mi_rdata),
  100. .mi_rstb(mi_rstb),
  101. .wb_wdata(wb_wdata),
  102. .wb_rdata(wb_rdata),
  103. .wb_addr(wb_addr),
  104. .wb_we(wb_we),
  105. .wb_cyc(wb_cyc),
  106. .wb_ack(wb_ack),
  107. .clk_read_delay(clk_read_delay),
  108. .clk_slow(clk_slow),
  109. .clk_fast(clk_fast),
  110. .clk_read(clk_read),
  111. .clk_sync(clk_sync),
  112. .rst(rst)
  113. );
  114. // Mem interface
  115. // -------------
  116. // Fixed values
  117. assign mi_addr_cs = 2'b01;
  118. assign mi_linear = 1'b0;
  119. assign mi_wmsk = 4'h0;
  120. always @(posedge clk_slow)
  121. if (rst)
  122. mi_wdata <= 32'h00010203;
  123. else if (mi_wack)
  124. mi_wdata <= mi_wdata + 32'h04040404;
  125. // Stimulus
  126. // --------
  127. task wb_write;
  128. input [ 3:0] addr;
  129. input [31:0] data;
  130. begin
  131. wb_addr <= addr;
  132. wb_wdata <= data;
  133. wb_we <= 1'b1;
  134. wb_cyc <= 1'b1;
  135. while (~wb_ack)
  136. @(posedge clk_slow);
  137. wb_addr <= 4'hx;
  138. wb_wdata <= 32'hxxxxxxxx;
  139. wb_we <= 1'bx;
  140. wb_cyc <= 1'b0;
  141. @(posedge clk_slow);
  142. end
  143. endtask
  144. task mi_burst_write;
  145. input [31:0] addr;
  146. input [ 6:0] len;
  147. begin
  148. mi_addr <= addr;
  149. mi_len <= len;
  150. mi_rw <= 1'b0;
  151. mi_valid <= 1'b1;
  152. @(posedge clk_slow);
  153. while (~mi_ready)
  154. @(posedge clk_slow);
  155. mi_valid <= 1'b0;
  156. @(posedge clk_slow);
  157. end
  158. endtask
  159. task mi_burst_read;
  160. input [31:0] addr;
  161. input [ 6:0] len;
  162. begin
  163. mi_addr <= addr;
  164. mi_len <= len;
  165. mi_rw <= 1'b1;
  166. mi_valid <= 1'b1;
  167. @(posedge clk_slow);
  168. while (~mi_ready)
  169. @(posedge clk_slow);
  170. mi_valid <= 1'b0;
  171. @(posedge clk_slow);
  172. end
  173. endtask
  174. initial begin
  175. // Defaults
  176. wb_addr <= 4'hx;
  177. wb_wdata <= 32'hxxxxxxxx;
  178. wb_we <= 1'bx;
  179. wb_cyc <= 1'b0;
  180. mi_addr <= 32'hxxxxxxxx;
  181. mi_len <= 7'hx;
  182. mi_rw <= 1'bx;
  183. mi_valid <= 1'b0;
  184. @(negedge rst);
  185. @(posedge clk_slow);
  186. // Reset pulse
  187. wb_write(4'h0, 32'h00001102);
  188. wb_write(4'h0, 32'h00001100);
  189. // Queue CR0 write
  190. wb_write(4'h3, 32'h00000030);
  191. wb_write(4'h2, 32'h60000100);
  192. wb_write(4'h2, 32'h00008fef);
  193. wb_write(4'h2, 32'h00000000);
  194. wb_write(4'h1, 32'h0000000e);
  195. // Wait
  196. #200
  197. @(posedge clk_slow);
  198. // Queue Memory write
  199. wb_write(4'h3, 32'h00000030);
  200. wb_write(4'h2, 32'h00000246);
  201. wb_write(4'h3, 32'h00000020);
  202. wb_write(4'h2, 32'h00040000);
  203. wb_write(4'h3, 32'h00000030);
  204. wb_write(4'h2, 32'hcafebabe);
  205. wb_write(4'h1, 32'h0000021c);
  206. // Wait
  207. #200
  208. @(posedge clk_slow);
  209. // Queue Memory read
  210. wb_write(4'h3, 32'h00000030);
  211. wb_write(4'h2, 32'h80000246);
  212. wb_write(4'h3, 32'h00000020);
  213. wb_write(4'h2, 32'h00040000);
  214. wb_write(4'h3, 32'h00000000);
  215. wb_write(4'h2, 32'h00000000);
  216. wb_write(4'h1, 32'h0000021d);
  217. // Wait
  218. #200
  219. @(posedge clk_slow);
  220. // Switch to run-time mode
  221. wb_write(4'h0, 32'h00001101);
  222. // Execute 32 byte burst
  223. mi_burst_write(32'h00002000, 7'd31);
  224. mi_burst_read (32'h00002000, 7'd15);
  225. mi_burst_write(32'h00003000, 7'd31);
  226. end
  227. // Clock / Reset
  228. // -------------
  229. // Native clocks
  230. initial begin
  231. # 200 pll_lock = 1'b1;
  232. # 100000 $finish;
  233. end
  234. always #4 clk_fast = ~clk_fast; // 125 MHz
  235. always #8 clk_read = ~clk_read; // 62.5 MHz
  236. // Clock Divider & Sync
  237. always @(negedge clk_read or negedge pll_lock)
  238. if (~pll_lock)
  239. rst_div <= 1'b1;
  240. else
  241. rst_div <= 1'b0;
  242. always @(posedge clk_fast or posedge rst_div)
  243. if (rst_div)
  244. { clk_sync, clk_div } <= 3'b000;
  245. else
  246. case (clk_div)
  247. 2'b00: { clk_sync, clk_div } <= 3'b001;
  248. 2'b01: { clk_sync, clk_div } <= 3'b010;
  249. 2'b10: { clk_sync, clk_div } <= 3'b011;
  250. 2'b11: { clk_sync, clk_div } <= 3'b100;
  251. endcase
  252. assign clk_slow = clk_div[1];
  253. // Reset
  254. always @(posedge clk_slow or negedge pll_lock)
  255. if (~pll_lock)
  256. rst_cnt <= 4'h8;
  257. else if (rst_cnt[3])
  258. rst_cnt <= rst_cnt + 1;
  259. assign rst = rst_cnt[3];
  260. endmodule