bridge.v 4.1 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186
  1. /*
  2. * bridge.v
  3. *
  4. * vim: ts=4 sw=4
  5. *
  6. * Copyright (C) 2019-2020 Sylvain Munaut <tnt@246tNt.com>
  7. * SPDX-License-Identifier: CERN-OHL-P-2.0
  8. */
  9. `default_nettype none
  10. module bridge #(
  11. parameter integer WB_N = 8,
  12. parameter integer WB_DW = 32,
  13. parameter integer WB_AW = 16,
  14. parameter integer WB_AI = 2,
  15. parameter integer WB_REG = 0 // [0] = cyc / [1] = addr/wdata/wstrb / [2] = ack/rdata
  16. )(
  17. /* PicoRV32 bus */
  18. input wire [31:0] pb_addr,
  19. output wire [31:0] pb_rdata,
  20. input wire [31:0] pb_wdata,
  21. input wire [ 3:0] pb_wstrb,
  22. input wire pb_valid,
  23. output wire pb_ready,
  24. /* BRAM */
  25. output wire [ 7:0] bram_addr,
  26. input wire [31:0] bram_rdata,
  27. output wire [31:0] bram_wdata,
  28. output wire [ 3:0] bram_wmsk,
  29. output wire bram_we,
  30. /* SPRAM */
  31. output wire [14:0] spram_addr,
  32. input wire [31:0] spram_rdata,
  33. output wire [31:0] spram_wdata,
  34. output wire [ 3:0] spram_wmsk,
  35. output wire spram_we,
  36. /* Wishbone buses */
  37. output wire [WB_AW-1:0] wb_addr,
  38. output wire [WB_DW-1:0] wb_wdata,
  39. output wire [(WB_DW/8)-1:0] wb_wmsk,
  40. input wire [(WB_DW*WB_N)-1:0] wb_rdata,
  41. output wire [WB_N-1:0] wb_cyc,
  42. output wire wb_we,
  43. input wire [WB_N-1:0] wb_ack,
  44. /* Clock / Reset */
  45. input wire clk,
  46. input wire rst
  47. );
  48. // Signals
  49. // -------
  50. wire ram_sel;
  51. reg ram_rdy;
  52. wire [31:0] ram_rdata;
  53. (* keep *) wire [WB_N-1:0] wb_match;
  54. (* keep *) wire wb_cyc_rst;
  55. reg [31:0] wb_rdata_or;
  56. wire [31:0] wb_rdata_out;
  57. wire wb_rdy;
  58. // RAM access
  59. // ----------
  60. // BRAM : 0x00000000 -> 0x000003ff
  61. // SPRAM : 0x00020000 -> 0x0003ffff
  62. assign bram_addr = pb_addr[ 9:2];
  63. assign spram_addr = pb_addr[16:2];
  64. assign bram_wdata = pb_wdata;
  65. assign spram_wdata = pb_wdata;
  66. assign bram_wmsk = ~pb_wstrb;
  67. assign spram_wmsk = ~pb_wstrb;
  68. assign bram_we = pb_valid & ~pb_addr[31] & |pb_wstrb & ~pb_addr[17];
  69. assign spram_we = pb_valid & ~pb_addr[31] & |pb_wstrb & pb_addr[17];
  70. assign ram_rdata = ~pb_addr[31] ? (pb_addr[17] ? spram_rdata : bram_rdata) : 32'h00000000;
  71. assign ram_sel = pb_valid & ~pb_addr[31];
  72. always @(posedge clk)
  73. ram_rdy <= ram_sel && ~ram_rdy;
  74. // Wishbone
  75. // --------
  76. // wb[x] = 0x8x000000 - 0x8xffffff
  77. // Access Cycle
  78. genvar i;
  79. for (i=0; i<WB_N; i=i+1)
  80. assign wb_match[i] = (pb_addr[27:24] == i);
  81. if (WB_REG & 1) begin
  82. // Register
  83. reg [WB_N-1:0] wb_cyc_reg;
  84. always @(posedge clk)
  85. if (wb_cyc_rst)
  86. wb_cyc_reg <= 0;
  87. else
  88. wb_cyc_reg <= wb_match & ~wb_ack;
  89. assign wb_cyc = wb_cyc_reg;
  90. end else begin
  91. // Direct connection
  92. assign wb_cyc = wb_cyc_rst ? { WB_N{1'b0} } : wb_match;
  93. end
  94. // Addr / Write-Data / Write-Mask / Write-Enable
  95. if (WB_REG & 2) begin
  96. // Register
  97. reg [WB_AW-1:0] wb_addr_reg;
  98. reg [WB_DW-1:0] wb_wdata_reg;
  99. reg [(WB_DW/8)-1:0] wb_wmsk_reg;
  100. reg wb_we_reg;
  101. always @(posedge clk)
  102. begin
  103. wb_addr_reg <= pb_addr[WB_AW+WB_AI-1:WB_AI];
  104. wb_wdata_reg <= pb_wdata[WB_DW-1:0];
  105. wb_wmsk_reg <= ~pb_wstrb[(WB_DW/8)-1:0];
  106. wb_we_reg <= |pb_wstrb;
  107. end
  108. assign wb_addr = wb_addr_reg;
  109. assign wb_wdata = wb_wdata_reg;
  110. assign wb_wmsk = wb_wmsk_reg;
  111. assign wb_we = wb_we_reg;
  112. end else begin
  113. // Direct connection
  114. assign wb_addr = pb_addr[WB_AW+WB_AI-1:WB_AI];
  115. assign wb_wdata = pb_wdata[WB_DW-1:0];
  116. assign wb_wmsk = pb_wstrb[(WB_DW/8)-1:0];
  117. assign wb_we = |pb_wstrb;
  118. end
  119. // Ack / Read-Data
  120. always @(*)
  121. begin : wb_or
  122. integer i;
  123. wb_rdata_or = 0;
  124. for (i=0; i<WB_N; i=i+1)
  125. wb_rdata_or[WB_DW-1:0] = wb_rdata_or[WB_DW-1:0] | wb_rdata[WB_DW*i+:WB_DW];
  126. end
  127. if (WB_REG & 4) begin
  128. // Register
  129. reg wb_rdy_reg;
  130. reg [31:0] wb_rdata_reg;
  131. always @(posedge clk)
  132. wb_rdy_reg <= |wb_ack;
  133. always @(posedge clk)
  134. if (wb_cyc_rst)
  135. wb_rdata_reg <= 32'h00000000;
  136. else
  137. wb_rdata_reg <= wb_rdata_or;
  138. assign wb_cyc_rst = ~pb_valid | ~pb_addr[31] | wb_rdy_reg;
  139. assign wb_rdy = wb_rdy_reg;
  140. assign wb_rdata_out = wb_rdata_reg;
  141. end else begin
  142. // Direct connection
  143. assign wb_cyc_rst = ~pb_valid | ~pb_addr[31];
  144. assign wb_rdy = |wb_ack;
  145. assign wb_rdata_out = wb_rdata_or;
  146. end
  147. // Final data combining
  148. // --------------------
  149. assign pb_rdata = ram_rdata | wb_rdata_out;
  150. assign pb_ready = ram_rdy | wb_rdy;
  151. endmodule // bridge