top.v 9.5 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526
  1. /*
  2. * top.v
  3. *
  4. * vim: ts=4 sw=4
  5. *
  6. * Copyright (C) 2019-2020 Sylvain Munaut <tnt@246tNt.com>
  7. * SPDX-License-Identifier: CERN-OHL-P-2.0
  8. */
  9. `default_nettype none
  10. `include "boards.vh"
  11. module top (
  12. // SPI
  13. inout wire spi_mosi,
  14. inout wire spi_miso,
  15. inout wire spi_clk,
  16. inout wire spi_flash_cs_n,
  17. `ifdef HAS_PSRAM
  18. inout wire spi_ram_cs_n,
  19. `endif
  20. // USB
  21. inout wire usb_dp,
  22. inout wire usb_dn,
  23. output wire usb_pu,
  24. // Debug UART
  25. input wire uart_rx,
  26. output wire uart_tx,
  27. // Button
  28. input wire btn,
  29. // LED
  30. output wire [2:0] rgb,
  31. // Clock
  32. input wire clk_in
  33. );
  34. localparam WB_N = 6;
  35. localparam WB_DW = 32;
  36. localparam WB_AW = 16;
  37. localparam WB_AI = 2;
  38. localparam SPRAM_AW = 14; /* 14 => 64k, 15 => 128k */
  39. genvar i;
  40. // Signals
  41. // -------
  42. // Memory bus
  43. wire mem_valid;
  44. wire mem_instr;
  45. wire mem_ready;
  46. wire [31:0] mem_addr;
  47. wire [31:0] mem_rdata;
  48. wire [31:0] mem_wdata;
  49. wire [ 3:0] mem_wstrb;
  50. // RAM
  51. // BRAM
  52. wire [ 7:0] bram_addr;
  53. wire [31:0] bram_rdata;
  54. wire [31:0] bram_wdata;
  55. wire [ 3:0] bram_wmsk;
  56. wire bram_we;
  57. // SPRAM
  58. wire [14:0] spram_addr;
  59. wire [31:0] spram_rdata;
  60. wire [31:0] spram_wdata;
  61. wire [ 3:0] spram_wmsk;
  62. wire spram_we;
  63. // Wishbone
  64. wire [WB_AW-1:0] wb_addr;
  65. wire [WB_DW-1:0] wb_wdata;
  66. wire [(WB_DW/8)-1:0] wb_wmsk;
  67. wire [WB_DW-1:0] wb_rdata [0:WB_N-1];
  68. wire [(WB_DW*WB_N)-1:0] wb_rdata_flat;
  69. wire [WB_N-1:0] wb_cyc;
  70. wire wb_we;
  71. wire [WB_N-1:0] wb_ack;
  72. // UART
  73. // USB Core
  74. // EP Buffer
  75. wire [ 8:0] ep_tx_addr_0;
  76. wire [31:0] ep_tx_data_0;
  77. wire ep_tx_we_0;
  78. wire [ 8:0] ep_rx_addr_0;
  79. wire [31:0] ep_rx_data_1;
  80. wire ep_rx_re_0;
  81. // Bus interface
  82. wire [11:0] ub_addr;
  83. wire [15:0] ub_wdata;
  84. wire [15:0] ub_rdata;
  85. wire ub_cyc;
  86. wire ub_we;
  87. wire ub_ack;
  88. // SPI
  89. wire [7:0] sb_addr;
  90. wire [7:0] sb_di;
  91. wire [7:0] sb_do;
  92. wire sb_rw;
  93. wire sb_stb;
  94. wire sb_ack;
  95. wire sb_irq;
  96. wire sb_wkup;
  97. wire sio_miso_o, sio_miso_oe, sio_miso_i;
  98. wire sio_mosi_o, sio_mosi_oe, sio_mosi_i;
  99. wire sio_clk_o, sio_clk_oe, sio_clk_i;
  100. wire [3:0] sio_csn_o, sio_csn_oe;
  101. // LEDs
  102. reg [4:0] led_ctrl;
  103. wire [2:0] rgb_pwm;
  104. // WarmBoot
  105. reg boot_now;
  106. reg [1:0] boot_sel;
  107. // Clock / Reset logic
  108. wire clk_24m;
  109. wire clk_48m;
  110. wire rst;
  111. // SoC
  112. // ---
  113. // CPU
  114. picorv32 #(
  115. .PROGADDR_RESET(32'h 0000_0000),
  116. .STACKADDR(32'h 0000_0400),
  117. .BARREL_SHIFTER(0),
  118. .COMPRESSED_ISA(0),
  119. .ENABLE_COUNTERS(0),
  120. .ENABLE_MUL(0),
  121. .ENABLE_DIV(0),
  122. .ENABLE_IRQ(0),
  123. .ENABLE_IRQ_QREGS(0),
  124. .CATCH_MISALIGN(0),
  125. .CATCH_ILLINSN(0)
  126. ) cpu_I (
  127. .clk (clk_24m),
  128. .resetn (~rst),
  129. .mem_valid (mem_valid),
  130. .mem_instr (mem_instr),
  131. .mem_ready (mem_ready),
  132. .mem_addr (mem_addr),
  133. .mem_wdata (mem_wdata),
  134. .mem_wstrb (mem_wstrb),
  135. .mem_rdata (mem_rdata)
  136. );
  137. // Bus interface
  138. bridge #(
  139. .WB_N(WB_N),
  140. .WB_DW(WB_DW),
  141. .WB_AW(WB_AW),
  142. .WB_AI(WB_AI)
  143. ) pb_I (
  144. .pb_addr(mem_addr),
  145. .pb_rdata(mem_rdata),
  146. .pb_wdata(mem_wdata),
  147. .pb_wstrb(mem_wstrb),
  148. .pb_valid(mem_valid),
  149. .pb_ready(mem_ready),
  150. .bram_addr(bram_addr),
  151. .bram_rdata(bram_rdata),
  152. .bram_wdata(bram_wdata),
  153. .bram_wmsk(bram_wmsk),
  154. .bram_we(bram_we),
  155. .spram_addr(spram_addr),
  156. .spram_rdata(spram_rdata),
  157. .spram_wdata(spram_wdata),
  158. .spram_wmsk(spram_wmsk),
  159. .spram_we(spram_we),
  160. .wb_addr(wb_addr),
  161. .wb_wdata(wb_wdata),
  162. .wb_wmsk(wb_wmsk),
  163. .wb_rdata(wb_rdata_flat),
  164. .wb_cyc(wb_cyc),
  165. .wb_we(wb_we),
  166. .wb_ack(wb_ack),
  167. .clk(clk_24m),
  168. .rst(rst)
  169. );
  170. for (i=0; i<WB_N; i=i+1)
  171. assign wb_rdata_flat[i*WB_DW+:WB_DW] = wb_rdata[i];
  172. assign wb_rdata[0] = 0;
  173. assign wb_ack[0] = wb_cyc[0];
  174. // Boot memory
  175. soc_bram #(
  176. .INIT_FILE("boot.hex")
  177. ) bram_I (
  178. .addr(bram_addr),
  179. .rdata(bram_rdata),
  180. .wdata(bram_wdata),
  181. .wmsk(bram_wmsk),
  182. .we(bram_we),
  183. .clk(clk_24m)
  184. );
  185. // Main memory
  186. soc_spram #(
  187. .AW(SPRAM_AW)
  188. ) spram_I (
  189. .addr(spram_addr[SPRAM_AW-1:0]),
  190. .rdata(spram_rdata),
  191. .wdata(spram_wdata),
  192. .wmsk(spram_wmsk),
  193. .we(spram_we),
  194. .clk(clk_24m)
  195. );
  196. // UART
  197. // ----
  198. uart_wb #(
  199. .DIV_WIDTH(12),
  200. .DW(WB_DW)
  201. ) uart_I (
  202. .uart_tx(uart_tx),
  203. .uart_rx(uart_rx),
  204. .wb_addr(wb_addr[1:0]),
  205. .wb_rdata(wb_rdata[1]),
  206. .wb_we(wb_we),
  207. .wb_wdata(wb_wdata),
  208. .wb_cyc(wb_cyc[1]),
  209. .wb_ack(wb_ack[1]),
  210. .clk(clk_24m),
  211. .rst(rst)
  212. );
  213. // SPI
  214. // ---
  215. // Hard-IP
  216. `ifndef SIM
  217. SB_SPI #(
  218. .BUS_ADDR74("0b0000")
  219. ) spi_I (
  220. .SBCLKI(clk_24m),
  221. .SBRWI(sb_rw),
  222. .SBSTBI(sb_stb),
  223. .SBADRI7(sb_addr[7]),
  224. .SBADRI6(sb_addr[6]),
  225. .SBADRI5(sb_addr[5]),
  226. .SBADRI4(sb_addr[4]),
  227. .SBADRI3(sb_addr[3]),
  228. .SBADRI2(sb_addr[2]),
  229. .SBADRI1(sb_addr[1]),
  230. .SBADRI0(sb_addr[0]),
  231. .SBDATI7(sb_di[7]),
  232. .SBDATI6(sb_di[6]),
  233. .SBDATI5(sb_di[5]),
  234. .SBDATI4(sb_di[4]),
  235. .SBDATI3(sb_di[3]),
  236. .SBDATI2(sb_di[2]),
  237. .SBDATI1(sb_di[1]),
  238. .SBDATI0(sb_di[0]),
  239. .MI(sio_miso_i),
  240. .SI(sio_mosi_i),
  241. .SCKI(sio_clk_i),
  242. .SCSNI(1'b1),
  243. .SBDATO7(sb_do[7]),
  244. .SBDATO6(sb_do[6]),
  245. .SBDATO5(sb_do[5]),
  246. .SBDATO4(sb_do[4]),
  247. .SBDATO3(sb_do[3]),
  248. .SBDATO2(sb_do[2]),
  249. .SBDATO1(sb_do[1]),
  250. .SBDATO0(sb_do[0]),
  251. .SBACKO(sb_ack),
  252. .SPIIRQ(sb_irq),
  253. .SPIWKUP(sb_wkup),
  254. .SO(sio_miso_o),
  255. .SOE(sio_miso_oe),
  256. .MO(sio_mosi_o),
  257. .MOE(sio_mosi_oe),
  258. .SCKO(sio_clk_o),
  259. .SCKOE(sio_clk_oe),
  260. .MCSNO3(sio_csn_o[3]),
  261. .MCSNO2(sio_csn_o[2]),
  262. .MCSNO1(sio_csn_o[1]),
  263. .MCSNO0(sio_csn_o[0]),
  264. .MCSNOE3(sio_csn_oe[3]),
  265. .MCSNOE2(sio_csn_oe[2]),
  266. .MCSNOE1(sio_csn_oe[1]),
  267. .MCSNOE0(sio_csn_oe[0])
  268. );
  269. `else
  270. reg [3:0] sim;
  271. assign sb_ack = sb_stb;
  272. assign sb_do = { sim, 4'h8 };
  273. always @(posedge clk_24m)
  274. if (rst)
  275. sim <= 0;
  276. else if (sb_ack & sb_rw)
  277. sim <= sim + 1;
  278. `endif
  279. // IO pads
  280. SB_IO #(
  281. .PIN_TYPE(6'b101001),
  282. .PULLUP(1'b1)
  283. ) spi_io_I[2:0] (
  284. .PACKAGE_PIN ({spi_mosi, spi_miso, spi_clk }),
  285. .OUTPUT_ENABLE({sio_mosi_oe, sio_miso_oe, sio_clk_oe}),
  286. .D_OUT_0 ({sio_mosi_o, sio_miso_o, sio_clk_o }),
  287. .D_IN_0 ({sio_mosi_i, sio_miso_i, sio_clk_i })
  288. );
  289. // Bypass OE for CS_n lines
  290. assign spi_flash_cs_n = sio_csn_o[0];
  291. `ifdef HAS_PSRAM
  292. assign spi_ram_cs_n = sio_csn_o[1];
  293. `endif
  294. // Bus interface
  295. assign sb_addr = { 4'h0, wb_addr[3:0] };
  296. assign sb_di = wb_wdata[7:0];
  297. assign sb_rw = wb_we;
  298. assign sb_stb = wb_cyc[2];
  299. assign wb_rdata[2] = { {(WB_DW-8){1'b0}}, wb_cyc[2] ? sb_do : 8'h00 };
  300. assign wb_ack[2] = sb_ack;
  301. // LEDs
  302. // ----
  303. SB_LEDDA_IP led_I (
  304. .LEDDCS(wb_addr[4] & wb_we),
  305. .LEDDCLK(clk_24m),
  306. .LEDDDAT7(wb_wdata[7]),
  307. .LEDDDAT6(wb_wdata[6]),
  308. .LEDDDAT5(wb_wdata[5]),
  309. .LEDDDAT4(wb_wdata[4]),
  310. .LEDDDAT3(wb_wdata[3]),
  311. .LEDDDAT2(wb_wdata[2]),
  312. .LEDDDAT1(wb_wdata[1]),
  313. .LEDDDAT0(wb_wdata[0]),
  314. .LEDDADDR3(wb_addr[3]),
  315. .LEDDADDR2(wb_addr[2]),
  316. .LEDDADDR1(wb_addr[1]),
  317. .LEDDADDR0(wb_addr[0]),
  318. .LEDDDEN(wb_cyc[3]),
  319. .LEDDEXE(led_ctrl[1]),
  320. .PWMOUT0(rgb_pwm[0]),
  321. .PWMOUT1(rgb_pwm[1]),
  322. .PWMOUT2(rgb_pwm[2]),
  323. .LEDDON()
  324. );
  325. SB_RGBA_DRV #(
  326. .CURRENT_MODE("0b1"),
  327. .RGB0_CURRENT("0b000001"),
  328. .RGB1_CURRENT("0b000001"),
  329. .RGB2_CURRENT("0b000001")
  330. ) rgb_drv_I (
  331. .RGBLEDEN(led_ctrl[2]),
  332. .RGB0PWM(rgb_pwm[0]),
  333. .RGB1PWM(rgb_pwm[1]),
  334. .RGB2PWM(rgb_pwm[2]),
  335. .CURREN(led_ctrl[3]),
  336. .RGB0(rgb[0]),
  337. .RGB1(rgb[1]),
  338. .RGB2(rgb[2])
  339. );
  340. always @(posedge clk_24m or posedge rst)
  341. if (rst)
  342. led_ctrl <= 0;
  343. else if (wb_cyc[3] & ~wb_addr[4] & wb_we)
  344. led_ctrl <= wb_wdata[4:0];
  345. assign wb_rdata[3] = { WB_DW{1'b0} };
  346. assign wb_ack[3] = wb_cyc[3];
  347. // USB Core
  348. // --------
  349. // Core
  350. usb #(
  351. .EPDW(32)
  352. ) usb_I (
  353. .pad_dp(usb_dp),
  354. .pad_dn(usb_dn),
  355. .pad_pu(usb_pu),
  356. .ep_tx_addr_0(ep_tx_addr_0),
  357. .ep_tx_data_0(ep_tx_data_0),
  358. .ep_tx_we_0(ep_tx_we_0),
  359. .ep_rx_addr_0(ep_rx_addr_0),
  360. .ep_rx_data_1(ep_rx_data_1),
  361. .ep_rx_re_0(ep_rx_re_0),
  362. .ep_clk(clk_24m),
  363. .wb_addr(ub_addr),
  364. .wb_rdata(ub_rdata),
  365. .wb_wdata(ub_wdata),
  366. .wb_we(ub_we),
  367. .wb_cyc(ub_cyc),
  368. .wb_ack(ub_ack),
  369. .clk(clk_48m),
  370. .rst(rst)
  371. );
  372. // Cross clock bridge
  373. xclk_wb #(
  374. .DW(16),
  375. .AW(12)
  376. ) wb_48m_xclk_I (
  377. .s_addr(wb_addr[11:0]),
  378. .s_wdata(wb_wdata[15:0]),
  379. .s_rdata(wb_rdata[4][15:0]),
  380. .s_cyc(wb_cyc[4]),
  381. .s_ack(wb_ack[4]),
  382. .s_we(wb_we),
  383. .s_clk(clk_24m),
  384. .m_addr(ub_addr),
  385. .m_wdata(ub_wdata),
  386. .m_rdata(ub_rdata),
  387. .m_cyc(ub_cyc),
  388. .m_ack(ub_ack),
  389. .m_we(ub_we),
  390. .m_clk(clk_48m),
  391. .rst(rst)
  392. );
  393. assign wb_rdata[4][31:16] = 16'h0000;
  394. // EP buffer interface
  395. reg wb_ack_ep;
  396. always @(posedge clk_24m)
  397. wb_ack_ep <= wb_cyc[5] & ~wb_ack_ep;
  398. assign wb_ack[5] = wb_ack_ep;
  399. assign ep_tx_addr_0 = wb_addr[8:0];
  400. assign ep_tx_data_0 = wb_wdata;
  401. assign ep_tx_we_0 = wb_cyc[5] & ~wb_ack[5] & wb_we;
  402. assign ep_rx_addr_0 = wb_addr[8:0];
  403. assign ep_rx_re_0 = 1'b1;
  404. assign wb_rdata[5] = wb_cyc[5] ? ep_rx_data_1 : 32'h00000000;
  405. // Warm Boot
  406. // ---------
  407. // Bus interface
  408. always @(posedge clk_24m or posedge rst)
  409. if (rst) begin
  410. boot_now <= 1'b0;
  411. boot_sel <= 2'b00;
  412. end else if (wb_cyc[0] & wb_we & (wb_addr[2:0] == 3'b000)) begin
  413. boot_now <= wb_wdata[2];
  414. boot_sel <= wb_wdata[1:0];
  415. end
  416. // Helper
  417. dfu_helper #(
  418. .TIMER_WIDTH(24),
  419. .BTN_MODE(3),
  420. .DFU_MODE(0)
  421. ) dfu_helper_I (
  422. .boot_now(boot_now),
  423. .boot_sel(boot_sel),
  424. .btn_pad(btn),
  425. .btn_val(),
  426. .rst_req(),
  427. .clk(clk_24m),
  428. .rst(rst)
  429. );
  430. // Clock / Reset
  431. // -------------
  432. `ifdef SIM
  433. reg clk_48m_s = 1'b0;
  434. reg clk_24m_s = 1'b0;
  435. reg rst_s = 1'b1;
  436. always #10.42 clk_48m_s <= !clk_48m_s;
  437. always #20.84 clk_24m_s <= !clk_24m_s;
  438. initial begin
  439. #200 rst_s = 0;
  440. end
  441. assign clk_48m = clk_48m_s;
  442. assign clk_24m = clk_24m_s;
  443. assign rst = rst_s;
  444. `else
  445. sysmgr sys_mgr_I (
  446. .clk_in(clk_in),
  447. .rst_in(1'b0),
  448. .clk_48m(clk_48m),
  449. .clk_24m(clk_24m),
  450. .rst_out(rst)
  451. );
  452. `endif
  453. endmodule // top