hub75_top.v 11 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379
  1. /*
  2. * hub75_top.v
  3. *
  4. * vim: ts=4 sw=4
  5. *
  6. * Copyright (C) 2019 Sylvain Munaut <tnt@246tNt.com>
  7. * All rights reserved.
  8. *
  9. * LGPL v3+, see LICENSE.lgpl3
  10. *
  11. * This program is free software; you can redistribute it and/or
  12. * modify it under the terms of the GNU Lesser General Public
  13. * License as published by the Free Software Foundation; either
  14. * version 3 of the License, or (at your option) any later version.
  15. *
  16. * This program is distributed in the hope that it will be useful,
  17. * but WITHOUT ANY WARRANTY; without even the implied warranty of
  18. * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
  19. * Lesser General Public License for more details.
  20. *
  21. * You should have received a copy of the GNU Lesser General Public License
  22. * along with this program; if not, write to the Free Software Foundation,
  23. * Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301, USA.
  24. */
  25. `default_nettype none
  26. module hub75_top #(
  27. parameter integer N_BANKS = 2, // # of parallel readout rows
  28. parameter integer N_ROWS = 32, // # of rows (must be power of 2!!!)
  29. parameter integer N_COLS = 64, // # of columns
  30. parameter integer N_CHANS = 3, // # of data channel
  31. parameter integer N_PLANES = 8, // # bitplanes
  32. parameter integer BITDEPTH = 24, // # bits per color
  33. parameter integer PHY_DDR = 0, // PHY DDR data output
  34. parameter integer PHY_AIR = 0, // PHY Address Inc/Reset
  35. parameter PANEL_INIT = "NONE", // 'NONE' or 'FM6126'
  36. parameter SCAN_MODE = "ZIGZAG", // 'LINEAR' or 'ZIGZAG'
  37. // Auto-set
  38. parameter integer SDW = N_BANKS * N_CHANS,
  39. parameter integer ESDW = SDW / (PHY_DDR ? 2 : 1),
  40. parameter integer LOG_N_BANKS = $clog2(N_BANKS),
  41. parameter integer LOG_N_ROWS = $clog2(N_ROWS),
  42. parameter integer LOG_N_COLS = $clog2(N_COLS)
  43. )(
  44. // Hub75 interface pads
  45. output wire hub75_addr_inc,
  46. output wire hub75_addr_rst,
  47. output wire [LOG_N_ROWS-1:0] hub75_addr,
  48. output wire [ESDW-1:0] hub75_data,
  49. output wire hub75_clk,
  50. output wire hub75_le,
  51. output wire hub75_blank,
  52. // Frame Buffer write interface
  53. // Row store/swap
  54. input wire [LOG_N_BANKS-1:0] fbw_bank_addr,
  55. input wire [LOG_N_ROWS-1:0] fbw_row_addr,
  56. input wire fbw_row_store,
  57. output wire fbw_row_rdy,
  58. input wire fbw_row_swap,
  59. // Line buffer access
  60. input wire [BITDEPTH-1:0] fbw_data,
  61. input wire [LOG_N_COLS-1:0] fbw_col_addr,
  62. input wire fbw_wren,
  63. // Frame buffer swap
  64. input wire frame_swap,
  65. output wire frame_rdy,
  66. // Control / Config
  67. input wire ctrl_run,
  68. input wire [7:0] cfg_pre_latch_len,
  69. input wire [7:0] cfg_latch_len,
  70. input wire [7:0] cfg_post_latch_len,
  71. input wire [7:0] cfg_bcm_bit_len,
  72. // Clock / Reset
  73. input wire clk,
  74. input wire clk_2x,
  75. input wire rst
  76. );
  77. // Signals
  78. // -------
  79. // Frame swap logic
  80. reg frame_swap_pending;
  81. wire frame_swap_fb;
  82. // PHY interface
  83. wire phy_addr_inc;
  84. wire phy_addr_rst;
  85. wire [LOG_N_ROWS-1:0] phy_addr;
  86. wire [SDW-1:0] phy_data;
  87. wire phy_clk;
  88. wire phy_le;
  89. wire phy_blank;
  90. wire phz_addr_inc;
  91. wire phz_addr_rst;
  92. wire [LOG_N_ROWS-1:0] phz_addr;
  93. wire [SDW-1:0] phz_data;
  94. wire phz_clk;
  95. wire phz_le;
  96. wire phz_blank;
  97. // Frame Buffer access
  98. // Read - Back Buffer loading
  99. wire [LOG_N_ROWS-1:0] fbr_row_addr;
  100. wire fbr_row_load;
  101. wire fbr_row_rdy;
  102. wire fbr_row_swap;
  103. // Read - Front Buffer access
  104. wire [(N_BANKS*N_CHANS*N_PLANES)-1:0] fbr_data;
  105. wire [LOG_N_COLS-1:0] fbr_col_addr;
  106. wire fbr_rden;
  107. // Scanning
  108. wire scan_go;
  109. wire scan_rdy;
  110. // Binary Code Modulator
  111. wire [LOG_N_ROWS-1:0] bcm_row;
  112. wire bcm_row_first;
  113. wire bcm_go;
  114. wire bcm_rdy, bcm_rdz;
  115. // Shifter
  116. wire [N_PLANES-1:0] shift_plane;
  117. wire shift_go;
  118. wire shift_rdy;
  119. // Blanking control
  120. wire [N_PLANES-1:0] blank_plane;
  121. wire blank_go;
  122. wire blank_rdy;
  123. // Sub-blocks
  124. // ----------
  125. // Synchronized frame swap logic
  126. always @(posedge clk or posedge rst)
  127. if (rst)
  128. frame_swap_pending <= 1'b0;
  129. else
  130. frame_swap_pending <= (frame_swap_pending & ~scan_rdy) | frame_swap;
  131. assign frame_rdy = ~frame_swap_pending;
  132. assign scan_go = scan_rdy & ~frame_swap_pending & ctrl_run;
  133. assign frame_swap_fb = frame_swap_pending & scan_rdy;
  134. // The signal direction usage legend to the right of the modules has the
  135. // following structure:
  136. // * Signal direction -> (output from the module)
  137. // * Signal direction <- (input to the module)
  138. // * top: signal is connected to top and exposed to the world
  139. // * pad: signal is a gpio pad id the direction indicates if the pad is an
  140. // input (<-), output (->) or bidir (<->)
  141. // * local: signal is conneted to some local module logic
  142. // * hub75_*: signal is connected to the module hub75_*
  143. // Frame Buffer
  144. hub75_framebuffer #(
  145. .N_BANKS(N_BANKS),
  146. .N_ROWS(N_ROWS),
  147. .N_COLS(N_COLS),
  148. .N_CHANS(N_CHANS),
  149. .N_PLANES(N_PLANES),
  150. .BITDEPTH(BITDEPTH)
  151. ) fb_I (
  152. .wr_bank_addr(fbw_bank_addr), // <- top
  153. .wr_row_addr(fbw_row_addr), // <- top
  154. .wr_row_store(fbw_row_store), // <- top
  155. .wr_row_rdy(fbw_row_rdy), // -> top
  156. .wr_row_swap(fbw_row_swap), // <- top
  157. .wr_data(fbw_data), // <- top
  158. .wr_col_addr(fbw_col_addr), // <- top
  159. .wr_en(fbw_wren), // <- top
  160. .rd_row_addr(fbr_row_addr), // <- hub75_scan
  161. .rd_row_load(fbr_row_load), // <- hub75_scan
  162. .rd_row_rdy(fbr_row_rdy), // -> hub75_scan
  163. .rd_row_swap(fbr_row_swap), // <- hub75_scan
  164. .rd_data(fbr_data), // -> hub75_shift
  165. .rd_col_addr(fbr_col_addr), // <- hub75_shift
  166. .rd_en(fbr_rden), // <- hub75_shift
  167. .frame_swap(frame_swap_fb), // <- local
  168. .clk(clk), // <- top
  169. .rst(rst) // <- top
  170. );
  171. // Scan
  172. hub75_scan #(
  173. .N_ROWS(N_ROWS),
  174. .SCAN_MODE(SCAN_MODE)
  175. ) scan_I (
  176. .bcm_row(bcm_row), // -> hub75_bcm
  177. .bcm_row_first(bcm_row_first), // -> hub75_bcm
  178. .bcm_go(bcm_go), // -> hub75_bcm
  179. .bcm_rdy(bcm_rdz), // <- hub75_bcm
  180. .fb_row_addr(fbr_row_addr), // -> hub75_framebuffer
  181. .fb_row_load(fbr_row_load), // -> hub75_framebuffer
  182. .fb_row_rdy(fbr_row_rdy), // <- hub75_framebuffer
  183. .fb_row_swap(fbr_row_swap), // -> hub75_framebuffer
  184. .ctrl_go(scan_go), // <- local
  185. .ctrl_rdy(scan_rdy), // -> local
  186. .clk(clk), // <- top
  187. .rst(rst) // <- top
  188. );
  189. // Binary Code Modulator control
  190. hub75_bcm #(
  191. .N_PLANES(N_PLANES)
  192. ) bcm_I (
  193. .phy_addr_inc(phy_addr_inc), // -> hub75_phy
  194. .phy_addr_rst(phy_addr_rst), // -> hub75_phy
  195. .phy_addr(phy_addr), // -> hub75_phy
  196. .phy_le(phy_le), // -> hub75_phy
  197. .shift_plane(shift_plane), // -> hub75_shift
  198. .shift_go(shift_go), // -> hub75_shift
  199. .shift_rdy(shift_rdy), // <- hub75_shift
  200. .blank_plane(blank_plane), // -> hub75_blanking
  201. .blank_go(blank_go), // -> hub75_blanking
  202. .blank_rdy(blank_rdy), // <- hub75_blanking
  203. .ctrl_row(bcm_row), // <- hub75_scan
  204. .ctrl_row_first(bcm_row_first), // <- hub75_scan
  205. .ctrl_go(bcm_go), // <- hub75_scan
  206. .ctrl_rdy(bcm_rdy), // -> hub75_scan
  207. .cfg_pre_latch_len(cfg_pre_latch_len), // <- top
  208. .cfg_latch_len(cfg_latch_len), // <- top
  209. .cfg_post_latch_len(cfg_post_latch_len), // <- top
  210. .clk(clk), // <- top
  211. .rst(rst) // <- top
  212. );
  213. // Shifter
  214. hub75_shift #(
  215. .N_BANKS(N_BANKS),
  216. .N_COLS(N_COLS),
  217. .N_CHANS(N_CHANS),
  218. .N_PLANES(N_PLANES)
  219. ) shift_I (
  220. .phy_data(phy_data), // -> hub75_phy
  221. .phy_clk(phy_clk), // -> hub75_phy
  222. .ram_data(fbr_data), // <- hub75_framebuffer
  223. .ram_col_addr(fbr_col_addr), // -> hub75_framebuffer
  224. .ram_rden(fbr_rden), // -> hub75_framebuffer
  225. .ctrl_plane(shift_plane), // <- hub75_bcm
  226. .ctrl_go(shift_go), // <- hub75_bcm
  227. .ctrl_rdy(shift_rdy), // -> hub75_bcm
  228. .clk(clk), // <- top
  229. .rst(rst) // <- top
  230. );
  231. // Blanking control
  232. hub75_blanking #(
  233. .N_PLANES(N_PLANES)
  234. ) blank_I (
  235. .phy_blank(phy_blank), // -> hub75_phy
  236. .ctrl_plane(blank_plane), // <- hub75_bcm
  237. .ctrl_go(blank_go), // <- hub75_bcm
  238. .ctrl_rdy(blank_rdy), // -> hub75_bcm
  239. .cfg_bcm_bit_len(cfg_bcm_bit_len), // <- top
  240. .clk(clk), // <- top
  241. .rst(rst) // <- top
  242. );
  243. // Init injector
  244. generate
  245. if (PANEL_INIT == "NONE") begin
  246. // Direct PHY connection
  247. assign phz_addr_inc = phy_addr_inc;
  248. assign phz_addr_rst = phy_addr_rst;
  249. assign phz_addr = phy_addr;
  250. assign phz_data = phy_data;
  251. assign phz_clk = phy_clk;
  252. assign phz_le = phy_le;
  253. assign phz_blank = phy_blank;
  254. // No gating
  255. assign bcm_rdz = bcm_rdy;
  256. end else begin
  257. hub75_init_inject #(
  258. .N_BANKS(N_BANKS),
  259. .N_ROWS(N_ROWS),
  260. .N_COLS(N_COLS),
  261. .N_CHANS(N_CHANS)
  262. ) init_I (
  263. .phy_in_addr_inc(phy_addr_inc),
  264. .phy_in_addr_rst(phy_addr_rst),
  265. .phy_in_addr(phy_addr),
  266. .phy_in_data(phy_data),
  267. .phy_in_clk(phy_clk),
  268. .phy_in_le(phy_le),
  269. .phy_in_blank(phy_blank),
  270. .phy_out_addr_inc(phz_addr_inc),
  271. .phy_out_addr_rst(phz_addr_rst),
  272. .phy_out_addr(phz_addr),
  273. .phy_out_data(phz_data),
  274. .phy_out_clk(phz_clk),
  275. .phy_out_le(phz_le),
  276. .phy_out_blank(phz_blank),
  277. .init_req(1'b1),
  278. .scan_go_in(scan_go),
  279. .bcm_rdy_in(bcm_rdy),
  280. .bcm_rdy_out(bcm_rdz),
  281. .shift_rdy_in(shift_rdy),
  282. .blank_rdy_in(blank_rdy),
  283. .clk(clk),
  284. .rst(rst)
  285. );
  286. end
  287. endgenerate
  288. // Physical layer control
  289. generate
  290. if (PHY_DDR == 0)
  291. hub75_phy #(
  292. .N_BANKS(N_BANKS),
  293. .N_ROWS(N_ROWS),
  294. .N_CHANS(N_CHANS),
  295. .PHY_AIR(PHY_AIR)
  296. ) phy_I (
  297. .hub75_addr_inc(hub75_addr_inc),// -> pad
  298. .hub75_addr_rst(hub75_addr_rst),// -> pad
  299. .hub75_addr(hub75_addr), // -> pad
  300. .hub75_data(hub75_data), // -> pad
  301. .hub75_clk(hub75_clk), // -> pad
  302. .hub75_le(hub75_le), // -> pad
  303. .hub75_blank(hub75_blank), // -> pad
  304. .phy_addr_inc(phz_addr_inc), // <- hub75_bcm
  305. .phy_addr_rst(phz_addr_rst), // <- hub75_bcm
  306. .phy_addr(phz_addr), // <- hub75_bcm
  307. .phy_data(phz_data), // <- hub75_shift
  308. .phy_clk(phz_clk), // <- hub75_shift
  309. .phy_le(phz_le), // <- hub75_bcm
  310. .phy_blank(phz_blank), // <- hub75_blanking
  311. .clk(clk), // <- top
  312. .rst(rst) // <- top
  313. );
  314. else
  315. hub75_phy_ddr #(
  316. .N_BANKS(N_BANKS),
  317. .N_ROWS(N_ROWS),
  318. .N_CHANS(N_CHANS),
  319. .PHY_DDR(PHY_DDR),
  320. .PHY_AIR(PHY_AIR)
  321. ) phy_I (
  322. .hub75_addr_inc(hub75_addr_inc),// -> pad
  323. .hub75_addr_rst(hub75_addr_rst),// -> pad
  324. .hub75_addr(hub75_addr), // -> pad
  325. .hub75_data(hub75_data), // -> pad
  326. .hub75_clk(hub75_clk), // -> pad
  327. .hub75_le(hub75_le), // -> pad
  328. .hub75_blank(hub75_blank), // -> pad
  329. .phy_addr_inc(phz_addr_inc), // <- hub75_bcm
  330. .phy_addr_rst(phz_addr_rst), // <- hub75_bcm
  331. .phy_addr(phz_addr), // <- hub75_bcm
  332. .phy_data(phz_data), // <- hub75_shift
  333. .phy_clk(phz_clk), // <- hub75_shift
  334. .phy_le(phz_le), // <- hub75_bcm
  335. .phy_blank(phz_blank), // <- hub75_blanking
  336. .clk(clk), // <- top
  337. .clk_2x(clk_2x), // <- top
  338. .rst(rst) // <- top
  339. );
  340. endgenerate
  341. endmodule // hub75_top