hub75_fb_writein.v 4.7 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201
  1. /*
  2. * hub75_fb_writein.v
  3. *
  4. * vim: ts=4 sw=4
  5. *
  6. * Copyright (C) 2019 Sylvain Munaut <tnt@246tNt.com>
  7. * All rights reserved.
  8. *
  9. * LGPL v3+, see LICENSE.lgpl3
  10. *
  11. * This program is free software; you can redistribute it and/or
  12. * modify it under the terms of the GNU Lesser General Public
  13. * License as published by the Free Software Foundation; either
  14. * version 3 of the License, or (at your option) any later version.
  15. *
  16. * This program is distributed in the hope that it will be useful,
  17. * but WITHOUT ANY WARRANTY; without even the implied warranty of
  18. * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
  19. * Lesser General Public License for more details.
  20. *
  21. * You should have received a copy of the GNU Lesser General Public License
  22. * along with this program; if not, write to the Free Software Foundation,
  23. * Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301, USA.
  24. */
  25. `default_nettype none
  26. module hub75_fb_writein #(
  27. parameter integer N_BANKS = 2,
  28. parameter integer N_ROWS = 32,
  29. parameter integer N_COLS = 64,
  30. parameter integer BITDEPTH = 24,
  31. parameter integer FB_AW = 13,
  32. parameter integer FB_DW = 16,
  33. parameter integer FB_DC = 2,
  34. // Auto-set
  35. parameter integer LOG_N_BANKS = $clog2(N_BANKS),
  36. parameter integer LOG_N_ROWS = $clog2(N_ROWS),
  37. parameter integer LOG_N_COLS = $clog2(N_COLS)
  38. )(
  39. // Write interface - Row store/swap
  40. input wire [LOG_N_BANKS-1:0] wr_bank_addr,
  41. input wire [LOG_N_ROWS-1:0] wr_row_addr,
  42. input wire wr_row_store,
  43. output wire wr_row_rdy,
  44. input wire wr_row_swap,
  45. // Write interface - Access
  46. input wire [BITDEPTH-1:0] wr_data,
  47. input wire [LOG_N_COLS-1:0] wr_col_addr,
  48. input wire wr_en,
  49. // Write In - Control
  50. output wire ctrl_req,
  51. input wire ctrl_gnt,
  52. output reg ctrl_rel,
  53. // Write In - Frame Buffer Access
  54. output wire [FB_AW-1:0] fb_addr,
  55. output wire [FB_DW-1:0] fb_data,
  56. output wire fb_wren,
  57. // Clock / Reset
  58. input wire clk,
  59. input wire rst
  60. );
  61. // Counter = [ col_addr : dc_idx ]
  62. localparam integer CS = $clog2(FB_DC);
  63. localparam integer CW = LOG_N_COLS + CS;
  64. // Signals
  65. // -------
  66. // Write-in process
  67. reg wip_buf;
  68. reg wip_pending;
  69. reg wip_running;
  70. reg wip_ready;
  71. reg [LOG_N_BANKS-1:0] wip_bank_addr;
  72. reg [LOG_N_ROWS-1:0] wip_row_addr;
  73. reg [CW-1:0] wip_cnt;
  74. reg wip_last;
  75. // Line buffer access
  76. wire [LOG_N_COLS-1:0] wilb_col_addr;
  77. wire [BITDEPTH-1:0] wilb_data;
  78. wire wilb_rden;
  79. wire [FB_DW*FB_DC-1:0] wilb_data_ext;
  80. // Frame buffer access
  81. reg [FB_AW-1:0] fb_addr_i;
  82. reg fb_wren_i;
  83. // Control
  84. // -------
  85. // Buffer swap
  86. always @(posedge clk or posedge rst)
  87. if (rst)
  88. wip_buf <= 1'b0;
  89. else
  90. wip_buf <= wip_buf ^ wr_row_swap;
  91. // Track status and requests
  92. always @(posedge clk or posedge rst)
  93. if (rst) begin
  94. wip_pending <= 1'b0;
  95. wip_running <= 1'b0;
  96. wip_ready <= 1'b1;
  97. end else begin
  98. wip_pending <= (wip_pending & ~ctrl_gnt) | wr_row_store;
  99. wip_running <= (wip_running & ~wip_last) | ctrl_gnt;
  100. wip_ready <= (wip_ready | wip_last) & ~wr_row_store;
  101. end
  102. // Arbiter interface
  103. assign ctrl_req = wip_pending;
  104. always @(posedge clk)
  105. ctrl_rel <= wip_last;
  106. // Write interface
  107. assign wr_row_rdy = wip_ready;
  108. // Latch bank/row address
  109. always @(posedge clk)
  110. if (wr_row_store) begin
  111. wip_bank_addr <= wr_bank_addr;
  112. wip_row_addr <= wr_row_addr;
  113. end
  114. // Counter
  115. always @(posedge clk)
  116. if (~wip_running) begin
  117. wip_cnt <= 0;
  118. wip_last <= 1'b0;
  119. end else begin
  120. wip_cnt <= wip_cnt + 1;
  121. wip_last <= wip_cnt == ((N_COLS << CS) - 2);
  122. end
  123. // Line buffer
  124. // -----------
  125. hub75_linebuffer #(
  126. .N_WORDS(1),
  127. .WORD_WIDTH(BITDEPTH),
  128. .ADDR_WIDTH(1 + LOG_N_COLS)
  129. ) writein_buf_I (
  130. .wr_addr({~wip_buf, wr_col_addr}),
  131. .wr_data(wr_data),
  132. .wr_mask(1'b1),
  133. .wr_ena(wr_en),
  134. .rd_addr({wip_buf, wilb_col_addr}),
  135. .rd_data(wilb_data),
  136. .rd_ena(wilb_rden),
  137. .clk(clk)
  138. );
  139. // Line buffer -> Frame buffer
  140. // ---------------------------
  141. // Line buffer read
  142. assign wilb_col_addr = wip_cnt[CW-1:CS];
  143. assign wilb_rden = wip_running;
  144. // Route data from frame buffer to line buffer
  145. // Extend it to a multiple of the frame buffer data width
  146. assign wilb_data_ext = { {(FB_DW*FB_DC-BITDEPTH){1'b0}}, wilb_data };
  147. // Mux
  148. generate
  149. if (CS > 0)
  150. assign fb_data = wilb_data_ext[FB_DW*fb_addr_i[CS-1:0]+:FB_DW];
  151. else
  152. assign fb_data = wilb_data_ext;
  153. endgenerate
  154. // Sync FB command with the read data from line buffer (1 cycle delay)
  155. always @(posedge clk)
  156. begin
  157. fb_addr_i[FB_AW-1:CS] <= { wip_row_addr, wip_cnt[CW-1:CS], wip_bank_addr };
  158. if (CS > 0)
  159. fb_addr_i[CS-1:0] <= wip_cnt[CS-1:0];
  160. fb_wren_i <= wip_running;
  161. end
  162. assign fb_addr = fb_addr_i;
  163. assign fb_wren = fb_wren_i;
  164. endmodule // hub75_fb_writein