riscv_doom.synth.rpt 1.2 MB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588589590591592593594595596597598599600601602603604605606607608609610611612613614615616617618619620621622623624625626627628629630631632633634635636637638639640641642643644645646647648649650651652653654655656657658659660661662663664665666667668669670671672673674675676677678679680681682683684685686687688689690691692693694695696697698699700701702703704705706707708709710711712713714715716717718719720721722723724725726727728729730731732733734735736737738739740741742743744745746747748749750751752753754755756757758759760761762763764765766767768769770771772773774775776777778779780781782783784785786787788789790791792793794795796797798799800801802803804805806807808809810811812813814815816817818819820821822823824825826827828829830831832833834835836837838839840841842843844845846847848849850851852853854855856857858859860861862863864865866867868869870871872873874875876877878879880881882883884885886887888889890891892893894895896897898899900901902903904905906907908909910911912913914915916917918919920921922923924925926927928929930931932933934935936937938939940941942943944945946947948949950951952953954955956957958959960961962963964965966967968969970971972973974975976977978979980981982983984985986987988989990991992993994995996997998999100010011002100310041005100610071008100910101011101210131014101510161017101810191020102110221023102410251026102710281029103010311032103310341035103610371038103910401041104210431044104510461047104810491050105110521053105410551056105710581059106010611062106310641065106610671068106910701071107210731074107510761077107810791080108110821083108410851086108710881089109010911092109310941095109610971098109911001101110211031104110511061107110811091110111111121113111411151116111711181119112011211122112311241125112611271128112911301131113211331134113511361137113811391140114111421143114411451146114711481149115011511152115311541155115611571158115911601161116211631164116511661167116811691170117111721173117411751176117711781179118011811182118311841185118611871188118911901191119211931194119511961197119811991200120112021203120412051206120712081209121012111212121312141215121612171218121912201221122212231224122512261227122812291230123112321233123412351236123712381239124012411242124312441245124612471248124912501251125212531254125512561257125812591260126112621263126412651266126712681269127012711272127312741275127612771278127912801281128212831284128512861287128812891290129112921293129412951296129712981299130013011302130313041305130613071308130913101311131213131314131513161317131813191320132113221323132413251326132713281329133013311332133313341335133613371338133913401341134213431344134513461347134813491350135113521353135413551356135713581359136013611362136313641365136613671368136913701371137213731374137513761377137813791380138113821383138413851386138713881389139013911392139313941395139613971398139914001401140214031404140514061407140814091410141114121413141414151416141714181419142014211422142314241425142614271428142914301431143214331434143514361437143814391440144114421443144414451446144714481449145014511452145314541455145614571458145914601461146214631464146514661467146814691470147114721473147414751476147714781479148014811482148314841485148614871488148914901491149214931494149514961497149814991500150115021503150415051506150715081509151015111512151315141515151615171518151915201521152215231524152515261527152815291530153115321533153415351536153715381539154015411542154315441545154615471548154915501551155215531554155515561557155815591560156115621563156415651566156715681569157015711572157315741575157615771578157915801581158215831584158515861587158815891590159115921593159415951596159715981599160016011602160316041605160616071608160916101611161216131614161516161617161816191620162116221623162416251626162716281629163016311632163316341635163616371638163916401641164216431644164516461647164816491650165116521653165416551656165716581659166016611662166316641665166616671668166916701671167216731674167516761677167816791680168116821683168416851686168716881689169016911692169316941695169616971698169917001701170217031704170517061707170817091710171117121713171417151716171717181719172017211722172317241725172617271728172917301731173217331734173517361737173817391740174117421743174417451746174717481749175017511752175317541755175617571758175917601761176217631764176517661767176817691770177117721773177417751776177717781779178017811782178317841785178617871788178917901791179217931794179517961797179817991800180118021803180418051806180718081809181018111812181318141815181618171818181918201821182218231824182518261827182818291830183118321833183418351836183718381839184018411842184318441845184618471848184918501851185218531854185518561857185818591860186118621863186418651866186718681869187018711872187318741875187618771878187918801881188218831884188518861887188818891890189118921893189418951896189718981899190019011902190319041905190619071908190919101911191219131914191519161917191819191920192119221923192419251926192719281929193019311932193319341935193619371938193919401941194219431944194519461947194819491950195119521953195419551956195719581959196019611962196319641965196619671968196919701971197219731974197519761977197819791980198119821983198419851986198719881989199019911992199319941995199619971998199920002001200220032004200520062007200820092010201120122013201420152016201720182019202020212022202320242025202620272028202920302031203220332034203520362037203820392040204120422043204420452046204720482049205020512052205320542055205620572058205920602061206220632064206520662067206820692070207120722073207420752076207720782079208020812082208320842085208620872088208920902091209220932094209520962097209820992100210121022103210421052106210721082109211021112112211321142115211621172118211921202121212221232124212521262127212821292130213121322133213421352136213721382139214021412142214321442145214621472148214921502151215221532154215521562157215821592160216121622163216421652166216721682169217021712172217321742175217621772178217921802181218221832184218521862187218821892190219121922193219421952196219721982199220022012202220322042205220622072208220922102211221222132214221522162217221822192220222122222223222422252226222722282229223022312232223322342235223622372238223922402241224222432244224522462247224822492250225122522253225422552256225722582259226022612262226322642265226622672268226922702271227222732274227522762277227822792280228122822283228422852286228722882289229022912292229322942295229622972298229923002301230223032304230523062307230823092310231123122313231423152316231723182319232023212322232323242325232623272328232923302331233223332334233523362337233823392340234123422343234423452346234723482349235023512352235323542355235623572358235923602361236223632364236523662367236823692370237123722373237423752376237723782379238023812382238323842385238623872388238923902391239223932394239523962397239823992400240124022403240424052406240724082409241024112412241324142415241624172418241924202421242224232424242524262427242824292430243124322433243424352436243724382439244024412442244324442445244624472448244924502451245224532454245524562457245824592460246124622463246424652466246724682469247024712472247324742475247624772478247924802481248224832484248524862487248824892490249124922493249424952496249724982499250025012502250325042505250625072508250925102511251225132514251525162517251825192520252125222523252425252526252725282529253025312532253325342535253625372538253925402541254225432544254525462547254825492550255125522553255425552556255725582559256025612562256325642565256625672568256925702571257225732574257525762577257825792580258125822583258425852586258725882589259025912592259325942595259625972598259926002601260226032604260526062607260826092610261126122613261426152616261726182619262026212622262326242625262626272628262926302631263226332634263526362637263826392640264126422643264426452646264726482649265026512652265326542655265626572658265926602661266226632664266526662667266826692670267126722673267426752676267726782679268026812682268326842685268626872688268926902691269226932694269526962697269826992700270127022703270427052706270727082709271027112712271327142715271627172718271927202721272227232724272527262727272827292730273127322733273427352736273727382739274027412742274327442745274627472748274927502751275227532754275527562757275827592760276127622763276427652766276727682769277027712772277327742775277627772778277927802781278227832784278527862787278827892790279127922793279427952796279727982799280028012802280328042805280628072808280928102811281228132814281528162817281828192820282128222823282428252826282728282829283028312832283328342835283628372838283928402841284228432844284528462847284828492850285128522853285428552856285728582859286028612862286328642865286628672868286928702871287228732874287528762877287828792880288128822883288428852886288728882889289028912892289328942895289628972898289929002901290229032904290529062907290829092910291129122913291429152916291729182919292029212922292329242925292629272928292929302931293229332934293529362937293829392940294129422943294429452946294729482949295029512952295329542955295629572958295929602961296229632964296529662967296829692970297129722973297429752976297729782979298029812982298329842985298629872988298929902991299229932994299529962997299829993000300130023003300430053006300730083009301030113012301330143015301630173018301930203021302230233024302530263027302830293030303130323033303430353036303730383039304030413042304330443045304630473048304930503051305230533054305530563057305830593060306130623063306430653066306730683069307030713072307330743075307630773078307930803081308230833084308530863087308830893090309130923093309430953096309730983099310031013102310331043105310631073108310931103111311231133114311531163117311831193120312131223123312431253126312731283129313031313132313331343135313631373138313931403141314231433144314531463147314831493150315131523153315431553156315731583159316031613162316331643165316631673168316931703171317231733174317531763177317831793180318131823183318431853186318731883189319031913192319331943195319631973198319932003201320232033204320532063207320832093210321132123213321432153216321732183219322032213222322332243225322632273228322932303231323232333234323532363237323832393240324132423243324432453246324732483249325032513252325332543255325632573258325932603261326232633264326532663267326832693270327132723273327432753276327732783279328032813282328332843285328632873288328932903291329232933294329532963297329832993300330133023303330433053306330733083309331033113312331333143315331633173318331933203321332233233324332533263327332833293330333133323333333433353336333733383339334033413342334333443345334633473348334933503351335233533354335533563357335833593360336133623363336433653366336733683369337033713372337333743375337633773378337933803381338233833384338533863387338833893390339133923393339433953396339733983399340034013402340334043405340634073408340934103411341234133414341534163417341834193420342134223423342434253426342734283429343034313432343334343435343634373438343934403441344234433444344534463447344834493450345134523453345434553456345734583459346034613462346334643465346634673468346934703471347234733474347534763477347834793480348134823483348434853486348734883489349034913492349334943495349634973498349935003501350235033504350535063507350835093510351135123513351435153516351735183519352035213522352335243525352635273528352935303531353235333534353535363537353835393540354135423543354435453546354735483549355035513552355335543555355635573558355935603561356235633564356535663567356835693570357135723573357435753576357735783579358035813582358335843585358635873588358935903591359235933594359535963597359835993600360136023603360436053606360736083609361036113612361336143615361636173618361936203621362236233624362536263627362836293630363136323633363436353636363736383639364036413642364336443645364636473648364936503651365236533654365536563657365836593660366136623663366436653666366736683669367036713672367336743675367636773678367936803681368236833684368536863687368836893690369136923693369436953696369736983699370037013702370337043705370637073708370937103711371237133714371537163717371837193720372137223723372437253726372737283729373037313732373337343735373637373738373937403741374237433744374537463747374837493750375137523753375437553756375737583759376037613762376337643765376637673768376937703771377237733774377537763777377837793780378137823783378437853786378737883789379037913792379337943795379637973798379938003801380238033804380538063807380838093810381138123813381438153816381738183819382038213822382338243825382638273828382938303831383238333834383538363837383838393840384138423843384438453846384738483849385038513852385338543855385638573858385938603861386238633864386538663867386838693870387138723873387438753876387738783879388038813882388338843885388638873888388938903891389238933894389538963897389838993900390139023903390439053906390739083909391039113912391339143915391639173918391939203921392239233924392539263927392839293930393139323933393439353936393739383939394039413942394339443945394639473948394939503951395239533954395539563957395839593960396139623963396439653966396739683969397039713972397339743975397639773978397939803981398239833984398539863987398839893990399139923993399439953996399739983999400040014002400340044005400640074008400940104011401240134014401540164017401840194020402140224023402440254026402740284029403040314032403340344035403640374038403940404041404240434044404540464047404840494050405140524053405440554056405740584059406040614062406340644065406640674068406940704071407240734074407540764077407840794080408140824083408440854086408740884089409040914092409340944095409640974098409941004101410241034104410541064107410841094110411141124113411441154116411741184119412041214122412341244125412641274128412941304131413241334134413541364137413841394140414141424143414441454146414741484149415041514152415341544155415641574158415941604161416241634164416541664167416841694170417141724173417441754176417741784179418041814182418341844185418641874188418941904191419241934194419541964197419841994200420142024203420442054206420742084209421042114212421342144215421642174218421942204221422242234224422542264227422842294230423142324233423442354236423742384239424042414242424342444245424642474248424942504251425242534254425542564257425842594260426142624263426442654266426742684269427042714272427342744275427642774278427942804281428242834284428542864287428842894290429142924293429442954296429742984299430043014302430343044305430643074308430943104311431243134314431543164317431843194320432143224323432443254326432743284329433043314332433343344335433643374338433943404341434243434344434543464347434843494350435143524353435443554356435743584359436043614362436343644365436643674368436943704371437243734374437543764377437843794380438143824383438443854386438743884389439043914392439343944395439643974398439944004401440244034404440544064407440844094410441144124413441444154416441744184419442044214422442344244425442644274428442944304431443244334434443544364437443844394440444144424443444444454446444744484449445044514452445344544455445644574458445944604461446244634464446544664467446844694470447144724473447444754476447744784479448044814482448344844485448644874488448944904491449244934494449544964497449844994500450145024503450445054506450745084509451045114512451345144515451645174518451945204521452245234524452545264527452845294530453145324533453445354536453745384539454045414542454345444545454645474548454945504551455245534554455545564557455845594560456145624563456445654566456745684569457045714572457345744575457645774578457945804581458245834584458545864587458845894590459145924593459445954596459745984599460046014602460346044605460646074608460946104611461246134614461546164617461846194620462146224623462446254626462746284629463046314632463346344635463646374638463946404641464246434644464546464647464846494650465146524653465446554656465746584659466046614662466346644665466646674668466946704671467246734674467546764677467846794680468146824683468446854686468746884689469046914692469346944695469646974698469947004701470247034704470547064707470847094710471147124713471447154716471747184719472047214722472347244725472647274728472947304731473247334734473547364737473847394740474147424743474447454746474747484749475047514752475347544755475647574758475947604761476247634764476547664767476847694770477147724773477447754776477747784779478047814782478347844785478647874788478947904791479247934794479547964797479847994800480148024803480448054806480748084809481048114812481348144815481648174818481948204821482248234824482548264827482848294830483148324833483448354836483748384839484048414842484348444845484648474848484948504851485248534854485548564857485848594860486148624863486448654866486748684869487048714872487348744875487648774878487948804881488248834884488548864887488848894890489148924893489448954896489748984899490049014902490349044905490649074908490949104911491249134914491549164917491849194920492149224923492449254926492749284929493049314932493349344935493649374938493949404941494249434944494549464947494849494950495149524953495449554956495749584959496049614962496349644965496649674968496949704971497249734974497549764977497849794980498149824983498449854986498749884989499049914992499349944995499649974998499950005001500250035004500550065007500850095010501150125013501450155016501750185019502050215022502350245025502650275028502950305031503250335034503550365037503850395040504150425043504450455046504750485049505050515052505350545055505650575058505950605061506250635064506550665067506850695070507150725073507450755076507750785079508050815082508350845085508650875088508950905091509250935094509550965097509850995100510151025103510451055106510751085109511051115112511351145115511651175118511951205121512251235124512551265127512851295130513151325133513451355136513751385139514051415142514351445145514651475148514951505151515251535154515551565157515851595160516151625163516451655166516751685169517051715172517351745175517651775178517951805181518251835184518551865187518851895190519151925193519451955196519751985199520052015202520352045205520652075208520952105211521252135214521552165217521852195220522152225223522452255226522752285229523052315232523352345235523652375238523952405241524252435244524552465247524852495250525152525253525452555256525752585259526052615262526352645265526652675268526952705271527252735274527552765277527852795280528152825283528452855286528752885289529052915292529352945295529652975298529953005301530253035304530553065307530853095310531153125313531453155316531753185319532053215322532353245325532653275328532953305331533253335334533553365337533853395340534153425343534453455346534753485349535053515352535353545355535653575358535953605361536253635364536553665367536853695370537153725373537453755376537753785379538053815382538353845385538653875388538953905391539253935394539553965397539853995400540154025403540454055406540754085409541054115412541354145415541654175418541954205421542254235424542554265427542854295430543154325433543454355436543754385439544054415442544354445445544654475448544954505451545254535454545554565457545854595460546154625463546454655466546754685469547054715472547354745475547654775478547954805481548254835484548554865487548854895490549154925493549454955496549754985499550055015502550355045505550655075508550955105511551255135514551555165517551855195520552155225523552455255526552755285529553055315532553355345535553655375538553955405541554255435544554555465547554855495550555155525553555455555556555755585559556055615562556355645565556655675568556955705571557255735574557555765577557855795580558155825583558455855586558755885589559055915592559355945595559655975598559956005601560256035604560556065607560856095610561156125613561456155616561756185619562056215622562356245625562656275628562956305631563256335634563556365637563856395640564156425643564456455646564756485649565056515652565356545655565656575658565956605661566256635664566556665667566856695670567156725673567456755676567756785679568056815682568356845685568656875688568956905691569256935694569556965697569856995700570157025703570457055706570757085709571057115712571357145715571657175718571957205721572257235724572557265727572857295730573157325733573457355736573757385739574057415742574357445745574657475748574957505751575257535754575557565757575857595760576157625763576457655766576757685769577057715772577357745775577657775778577957805781578257835784578557865787578857895790579157925793579457955796579757985799580058015802580358045805580658075808580958105811581258135814581558165817581858195820582158225823582458255826582758285829583058315832583358345835583658375838583958405841584258435844584558465847584858495850585158525853585458555856585758585859586058615862586358645865586658675868586958705871587258735874587558765877587858795880588158825883588458855886588758885889589058915892589358945895589658975898589959005901590259035904590559065907590859095910591159125913591459155916591759185919592059215922592359245925592659275928592959305931593259335934593559365937593859395940594159425943594459455946594759485949595059515952595359545955595659575958595959605961596259635964596559665967596859695970597159725973597459755976597759785979598059815982598359845985598659875988598959905991599259935994599559965997599859996000600160026003600460056006600760086009601060116012601360146015601660176018601960206021602260236024602560266027602860296030603160326033603460356036603760386039604060416042604360446045604660476048604960506051605260536054605560566057605860596060606160626063606460656066606760686069607060716072607360746075607660776078607960806081608260836084608560866087608860896090609160926093609460956096609760986099610061016102610361046105610661076108610961106111611261136114611561166117611861196120612161226123612461256126612761286129613061316132613361346135613661376138613961406141614261436144614561466147614861496150615161526153615461556156615761586159616061616162616361646165616661676168616961706171617261736174617561766177617861796180618161826183618461856186618761886189619061916192619361946195619661976198619962006201620262036204620562066207620862096210621162126213621462156216621762186219622062216222622362246225622662276228622962306231623262336234623562366237623862396240624162426243624462456246624762486249625062516252625362546255625662576258625962606261626262636264626562666267626862696270627162726273627462756276627762786279628062816282628362846285628662876288628962906291629262936294629562966297629862996300630163026303630463056306630763086309631063116312631363146315631663176318631963206321632263236324632563266327632863296330633163326333633463356336633763386339634063416342634363446345634663476348634963506351635263536354635563566357635863596360636163626363636463656366636763686369637063716372637363746375637663776378637963806381638263836384638563866387638863896390639163926393639463956396639763986399640064016402640364046405640664076408640964106411641264136414641564166417641864196420642164226423642464256426642764286429643064316432643364346435643664376438643964406441644264436444644564466447644864496450645164526453645464556456645764586459646064616462646364646465646664676468646964706471647264736474647564766477647864796480648164826483648464856486648764886489649064916492649364946495649664976498649965006501650265036504650565066507650865096510651165126513651465156516651765186519652065216522652365246525652665276528652965306531653265336534653565366537653865396540654165426543654465456546654765486549655065516552655365546555655665576558655965606561656265636564656565666567656865696570657165726573657465756576657765786579658065816582658365846585658665876588658965906591659265936594659565966597659865996600660166026603660466056606660766086609661066116612661366146615661666176618661966206621662266236624662566266627662866296630663166326633663466356636663766386639664066416642664366446645664666476648664966506651665266536654665566566657665866596660666166626663666466656666666766686669667066716672667366746675667666776678667966806681668266836684668566866687668866896690669166926693669466956696669766986699670067016702670367046705670667076708670967106711671267136714671567166717671867196720672167226723672467256726672767286729673067316732673367346735673667376738673967406741674267436744674567466747674867496750675167526753675467556756675767586759676067616762676367646765676667676768676967706771677267736774677567766777677867796780678167826783678467856786678767886789679067916792679367946795679667976798679968006801680268036804680568066807680868096810681168126813681468156816681768186819682068216822682368246825682668276828682968306831683268336834683568366837683868396840684168426843684468456846684768486849685068516852685368546855685668576858685968606861686268636864686568666867686868696870687168726873687468756876687768786879688068816882688368846885688668876888688968906891689268936894689568966897689868996900690169026903690469056906690769086909691069116912691369146915691669176918691969206921692269236924692569266927692869296930693169326933693469356936693769386939694069416942694369446945694669476948694969506951695269536954695569566957695869596960696169626963696469656966696769686969697069716972697369746975697669776978697969806981698269836984698569866987698869896990699169926993699469956996699769986999700070017002700370047005700670077008700970107011701270137014701570167017701870197020702170227023702470257026702770287029703070317032703370347035703670377038703970407041704270437044704570467047704870497050705170527053705470557056705770587059706070617062706370647065706670677068706970707071707270737074707570767077707870797080708170827083708470857086708770887089709070917092709370947095709670977098709971007101710271037104710571067107710871097110711171127113711471157116711771187119712071217122712371247125712671277128712971307131713271337134713571367137713871397140714171427143714471457146714771487149715071517152715371547155715671577158715971607161716271637164716571667167716871697170717171727173717471757176717771787179718071817182718371847185718671877188718971907191719271937194719571967197719871997200720172027203720472057206720772087209721072117212721372147215721672177218721972207221722272237224722572267227722872297230723172327233723472357236723772387239724072417242724372447245724672477248724972507251725272537254725572567257725872597260726172627263726472657266726772687269727072717272727372747275727672777278727972807281728272837284728572867287728872897290729172927293729472957296729772987299730073017302730373047305730673077308730973107311731273137314731573167317731873197320732173227323732473257326732773287329733073317332733373347335733673377338733973407341734273437344734573467347734873497350735173527353735473557356735773587359736073617362736373647365736673677368736973707371737273737374737573767377737873797380738173827383738473857386738773887389739073917392739373947395739673977398739974007401740274037404740574067407740874097410741174127413741474157416741774187419742074217422742374247425742674277428742974307431743274337434743574367437743874397440744174427443744474457446744774487449745074517452745374547455745674577458745974607461746274637464746574667467746874697470747174727473747474757476747774787479748074817482748374847485748674877488748974907491749274937494749574967497749874997500750175027503750475057506750775087509751075117512751375147515751675177518751975207521752275237524752575267527752875297530753175327533753475357536753775387539754075417542754375447545754675477548754975507551755275537554755575567557755875597560756175627563756475657566756775687569757075717572757375747575757675777578757975807581758275837584758575867587758875897590759175927593759475957596759775987599760076017602760376047605760676077608760976107611761276137614761576167617761876197620762176227623762476257626762776287629763076317632763376347635763676377638763976407641764276437644764576467647764876497650765176527653765476557656765776587659766076617662766376647665766676677668766976707671767276737674767576767677767876797680768176827683768476857686768776887689769076917692769376947695769676977698769977007701770277037704770577067707770877097710771177127713771477157716771777187719772077217722772377247725772677277728772977307731773277337734773577367737773877397740774177427743774477457746774777487749775077517752775377547755775677577758775977607761776277637764776577667767776877697770777177727773777477757776777777787779778077817782778377847785778677877788778977907791779277937794779577967797779877997800780178027803780478057806780778087809781078117812781378147815781678177818781978207821782278237824782578267827782878297830783178327833783478357836783778387839784078417842784378447845784678477848784978507851785278537854785578567857785878597860786178627863786478657866786778687869787078717872787378747875787678777878787978807881788278837884788578867887788878897890789178927893789478957896789778987899790079017902790379047905790679077908790979107911791279137914791579167917791879197920792179227923792479257926792779287929793079317932793379347935793679377938793979407941794279437944794579467947794879497950795179527953795479557956795779587959796079617962796379647965796679677968796979707971797279737974797579767977797879797980798179827983798479857986798779887989799079917992799379947995799679977998799980008001800280038004800580068007800880098010801180128013801480158016801780188019802080218022802380248025802680278028802980308031803280338034803580368037803880398040804180428043804480458046804780488049805080518052805380548055805680578058805980608061806280638064806580668067806880698070807180728073807480758076807780788079808080818082808380848085808680878088808980908091809280938094809580968097809880998100810181028103810481058106810781088109811081118112811381148115811681178118811981208121812281238124812581268127812881298130813181328133813481358136813781388139814081418142814381448145814681478148814981508151815281538154815581568157815881598160816181628163816481658166816781688169817081718172817381748175817681778178817981808181818281838184818581868187818881898190819181928193819481958196819781988199820082018202820382048205820682078208820982108211821282138214821582168217821882198220822182228223822482258226822782288229823082318232823382348235823682378238823982408241824282438244824582468247824882498250825182528253825482558256825782588259826082618262826382648265826682678268826982708271827282738274827582768277827882798280828182828283828482858286828782888289829082918292829382948295829682978298829983008301830283038304830583068307830883098310831183128313831483158316831783188319832083218322832383248325832683278328832983308331833283338334833583368337833883398340834183428343834483458346834783488349835083518352835383548355835683578358835983608361836283638364836583668367836883698370837183728373837483758376837783788379838083818382838383848385838683878388838983908391839283938394839583968397839883998400840184028403840484058406840784088409841084118412841384148415841684178418841984208421842284238424842584268427842884298430843184328433843484358436843784388439844084418442844384448445844684478448844984508451845284538454845584568457845884598460846184628463846484658466846784688469847084718472847384748475847684778478847984808481848284838484848584868487848884898490849184928493849484958496849784988499850085018502850385048505850685078508850985108511851285138514851585168517851885198520852185228523852485258526852785288529853085318532853385348535853685378538853985408541854285438544854585468547854885498550855185528553855485558556855785588559856085618562856385648565856685678568856985708571857285738574857585768577857885798580858185828583858485858586858785888589859085918592859385948595859685978598859986008601860286038604860586068607860886098610861186128613861486158616861786188619862086218622862386248625862686278628862986308631863286338634863586368637863886398640864186428643864486458646864786488649865086518652865386548655865686578658865986608661866286638664866586668667866886698670867186728673867486758676867786788679868086818682868386848685868686878688868986908691869286938694869586968697869886998700870187028703870487058706870787088709871087118712871387148715871687178718871987208721872287238724872587268727872887298730873187328733873487358736873787388739874087418742874387448745874687478748874987508751875287538754875587568757875887598760876187628763876487658766876787688769877087718772877387748775877687778778877987808781878287838784878587868787878887898790879187928793879487958796879787988799880088018802880388048805880688078808880988108811881288138814881588168817881888198820882188228823882488258826882788288829883088318832883388348835883688378838883988408841884288438844884588468847884888498850885188528853885488558856885788588859886088618862886388648865886688678868886988708871887288738874887588768877887888798880888188828883888488858886888788888889889088918892889388948895889688978898889989008901890289038904890589068907890889098910891189128913891489158916891789188919892089218922892389248925892689278928892989308931893289338934893589368937893889398940894189428943894489458946894789488949895089518952895389548955895689578958895989608961896289638964896589668967896889698970897189728973897489758976897789788979898089818982898389848985898689878988898989908991899289938994899589968997899889999000900190029003900490059006900790089009901090119012901390149015901690179018901990209021902290239024902590269027902890299030903190329033903490359036903790389039904090419042904390449045904690479048904990509051905290539054905590569057905890599060906190629063906490659066906790689069907090719072907390749075907690779078907990809081908290839084908590869087908890899090909190929093909490959096909790989099910091019102910391049105910691079108910991109111911291139114911591169117911891199120912191229123912491259126912791289129913091319132913391349135913691379138913991409141914291439144914591469147914891499150915191529153915491559156915791589159916091619162916391649165916691679168916991709171917291739174917591769177917891799180918191829183918491859186918791889189919091919192919391949195919691979198919992009201920292039204920592069207920892099210921192129213921492159216921792189219922092219222922392249225922692279228922992309231923292339234923592369237923892399240924192429243924492459246924792489249925092519252925392549255925692579258925992609261926292639264926592669267926892699270927192729273927492759276927792789279928092819282928392849285928692879288928992909291929292939294929592969297929892999300930193029303930493059306930793089309931093119312931393149315931693179318931993209321932293239324932593269327932893299330933193329333933493359336933793389339934093419342934393449345934693479348934993509351935293539354935593569357935893599360936193629363936493659366936793689369937093719372937393749375937693779378937993809381938293839384938593869387938893899390939193929393939493959396939793989399940094019402940394049405940694079408940994109411941294139414941594169417941894199420942194229423942494259426942794289429943094319432943394349435943694379438943994409441944294439444944594469447944894499450945194529453945494559456945794589459946094619462946394649465946694679468946994709471947294739474947594769477947894799480948194829483948494859486948794889489949094919492949394949495949694979498949995009501950295039504950595069507950895099510951195129513951495159516951795189519952095219522952395249525952695279528952995309531953295339534953595369537953895399540954195429543954495459546954795489549955095519552955395549555955695579558955995609561956295639564956595669567956895699570957195729573957495759576957795789579958095819582958395849585958695879588958995909591959295939594959595969597959895999600960196029603960496059606960796089609961096119612961396149615961696179618961996209621962296239624962596269627962896299630963196329633963496359636963796389639964096419642964396449645964696479648964996509651965296539654965596569657965896599660966196629663966496659666966796689669967096719672967396749675967696779678967996809681968296839684968596869687968896899690969196929693969496959696969796989699970097019702970397049705970697079708970997109711971297139714971597169717971897199720972197229723972497259726972797289729973097319732973397349735973697379738973997409741974297439744974597469747974897499750975197529753975497559756975797589759976097619762976397649765976697679768976997709771977297739774977597769777977897799780978197829783978497859786978797889789979097919792979397949795979697979798979998009801980298039804980598069807980898099810981198129813981498159816981798189819982098219822982398249825982698279828982998309831983298339834983598369837983898399840984198429843984498459846984798489849985098519852985398549855985698579858985998609861986298639864986598669867986898699870987198729873987498759876987798789879988098819882988398849885988698879888988998909891989298939894989598969897989898999900990199029903990499059906990799089909991099119912991399149915991699179918991999209921992299239924992599269927992899299930993199329933993499359936993799389939994099419942994399449945994699479948994999509951995299539954995599569957995899599960996199629963996499659966996799689969997099719972997399749975997699779978997999809981998299839984998599869987998899899990999199929993999499959996999799989999100001000110002100031000410005100061000710008100091001010011100121001310014100151001610017100181001910020100211002210023100241002510026100271002810029100301003110032100331003410035100361003710038100391004010041100421004310044100451004610047100481004910050100511005210053100541005510056100571005810059100601006110062100631006410065100661006710068100691007010071100721007310074100751007610077100781007910080100811008210083100841008510086100871008810089100901009110092100931009410095100961009710098100991010010101101021010310104101051010610107101081010910110101111011210113101141011510116101171011810119101201012110122101231012410125101261012710128101291013010131101321013310134101351013610137101381013910140101411014210143101441014510146101471014810149101501015110152101531015410155101561015710158101591016010161101621016310164101651016610167101681016910170101711017210173101741017510176101771017810179101801018110182101831018410185101861018710188101891019010191101921019310194101951019610197101981019910200102011020210203102041020510206102071020810209102101021110212102131021410215102161021710218102191022010221102221022310224102251022610227102281022910230102311023210233102341023510236102371023810239102401024110242102431024410245102461024710248102491025010251102521025310254102551025610257102581025910260102611026210263102641026510266102671026810269102701027110272102731027410275102761027710278102791028010281102821028310284102851028610287102881028910290102911029210293102941029510296102971029810299103001030110302103031030410305103061030710308103091031010311103121031310314103151031610317103181031910320103211032210323103241032510326103271032810329103301033110332103331033410335103361033710338103391034010341103421034310344103451034610347103481034910350103511035210353103541035510356103571035810359103601036110362103631036410365103661036710368103691037010371103721037310374103751037610377103781037910380103811038210383103841038510386103871038810389103901039110392103931039410395103961039710398103991040010401104021040310404104051040610407104081040910410104111041210413104141041510416104171041810419104201042110422104231042410425104261042710428104291043010431104321043310434104351043610437104381043910440104411044210443104441044510446104471044810449104501045110452104531045410455104561045710458104591046010461104621046310464104651046610467104681046910470104711047210473104741047510476104771047810479104801048110482104831048410485104861048710488104891049010491104921049310494104951049610497104981049910500105011050210503105041050510506105071050810509105101051110512105131051410515105161051710518105191052010521105221052310524105251052610527105281052910530105311053210533105341053510536105371053810539105401054110542105431054410545105461054710548105491055010551105521055310554105551055610557105581055910560105611056210563105641056510566105671056810569105701057110572105731057410575105761057710578105791058010581105821058310584105851058610587105881058910590105911059210593105941059510596105971059810599106001060110602106031060410605106061060710608106091061010611106121061310614106151061610617106181061910620106211062210623106241062510626106271062810629106301063110632106331063410635106361063710638106391064010641106421064310644106451064610647106481064910650106511065210653106541065510656106571065810659106601066110662106631066410665106661066710668106691067010671106721067310674106751067610677106781067910680106811068210683106841068510686106871068810689106901069110692106931069410695106961069710698106991070010701107021070310704107051070610707107081070910710107111071210713107141071510716107171071810719107201072110722107231072410725107261072710728107291073010731107321073310734107351073610737107381073910740107411074210743107441074510746107471074810749107501075110752107531075410755107561075710758107591076010761107621076310764107651076610767107681076910770107711077210773107741077510776107771077810779107801078110782107831078410785107861078710788107891079010791107921079310794107951079610797107981079910800108011080210803108041080510806108071080810809108101081110812108131081410815108161081710818108191082010821108221082310824108251082610827108281082910830108311083210833108341083510836108371083810839108401084110842108431084410845108461084710848108491085010851108521085310854108551085610857108581085910860108611086210863108641086510866108671086810869108701087110872108731087410875108761087710878108791088010881108821088310884108851088610887108881088910890108911089210893108941089510896108971089810899109001090110902109031090410905109061090710908109091091010911109121091310914109151091610917109181091910920109211092210923109241092510926109271092810929109301093110932109331093410935109361093710938109391094010941109421094310944109451094610947109481094910950109511095210953109541095510956109571095810959109601096110962109631096410965109661096710968109691097010971109721097310974109751097610977109781097910980109811098210983109841098510986109871098810989109901099110992109931099410995109961099710998109991100011001110021100311004110051100611007110081100911010110111101211013110141101511016110171101811019110201102111022110231102411025110261102711028110291103011031110321103311034110351103611037110381103911040110411104211043110441104511046110471104811049110501105111052110531105411055110561105711058110591106011061110621106311064110651106611067110681106911070110711107211073110741107511076110771107811079110801108111082110831108411085110861108711088110891109011091110921109311094110951109611097110981109911100111011110211103111041110511106111071110811109111101111111112111131111411115111161111711118111191112011121111221112311124111251112611127111281112911130111311113211133111341113511136111371113811139111401114111142111431114411145111461114711148111491115011151111521115311154111551115611157111581115911160111611116211163111641116511166111671116811169111701117111172111731117411175111761117711178111791118011181111821118311184111851118611187111881118911190111911119211193111941119511196111971119811199112001120111202112031120411205112061120711208112091121011211112121121311214112151121611217112181121911220112211122211223112241122511226112271122811229112301123111232112331123411235112361123711238112391124011241112421124311244112451124611247112481124911250112511125211253112541125511256112571125811259112601126111262112631126411265112661126711268112691127011271112721127311274112751127611277112781127911280112811128211283112841128511286112871128811289112901129111292112931129411295112961129711298112991130011301113021130311304113051130611307113081130911310113111131211313113141131511316113171131811319113201132111322113231132411325113261132711328113291133011331113321133311334113351133611337113381133911340113411134211343113441134511346113471134811349113501135111352113531135411355113561135711358113591136011361113621136311364113651136611367113681136911370113711137211373113741137511376113771137811379113801138111382113831138411385113861138711388113891139011391113921139311394113951139611397113981139911400114011140211403114041140511406114071140811409114101141111412114131141411415114161141711418114191142011421114221142311424114251142611427114281142911430114311143211433114341143511436114371143811439114401144111442114431144411445114461144711448114491145011451114521145311454114551145611457114581145911460114611146211463114641146511466114671146811469114701147111472114731147411475114761147711478114791148011481114821148311484114851148611487114881148911490114911149211493114941149511496114971149811499115001150111502115031150411505115061150711508115091151011511115121151311514115151151611517115181151911520115211152211523115241152511526115271152811529115301153111532115331153411535115361153711538115391154011541115421154311544115451154611547115481154911550115511155211553115541155511556115571155811559115601156111562115631156411565115661156711568115691157011571115721157311574115751157611577115781157911580115811158211583115841158511586115871158811589115901159111592115931159411595115961159711598115991160011601116021160311604116051160611607116081160911610116111161211613116141161511616116171161811619116201162111622116231162411625116261162711628116291163011631116321163311634116351163611637116381163911640116411164211643116441164511646116471164811649116501165111652116531165411655116561165711658116591166011661116621166311664116651166611667116681166911670116711167211673116741167511676116771167811679116801168111682116831168411685116861168711688116891169011691116921169311694116951169611697116981169911700117011170211703117041170511706117071170811709117101171111712117131171411715117161171711718117191172011721117221172311724117251172611727117281172911730117311173211733117341173511736117371173811739117401174111742117431174411745117461174711748117491175011751117521175311754117551175611757117581175911760117611176211763117641176511766117671176811769117701177111772117731177411775117761177711778117791178011781117821178311784117851178611787117881178911790117911179211793117941179511796117971179811799118001180111802118031180411805118061180711808118091181011811118121181311814118151181611817118181181911820118211182211823118241182511826118271182811829118301183111832118331183411835118361183711838118391184011841118421184311844118451184611847118481184911850118511185211853118541185511856118571185811859118601186111862118631186411865118661186711868118691187011871118721187311874118751187611877118781187911880118811188211883118841188511886118871188811889118901189111892118931189411895118961189711898118991190011901119021190311904119051190611907119081190911910119111191211913119141191511916119171191811919119201192111922119231192411925119261192711928119291193011931119321193311934119351193611937119381193911940119411194211943119441194511946119471194811949119501195111952119531195411955119561195711958119591196011961119621196311964119651196611967119681196911970119711197211973119741197511976119771197811979119801198111982119831198411985119861198711988119891199011991119921199311994119951199611997119981199912000120011200212003120041200512006120071200812009120101201112012120131201412015120161201712018120191202012021120221202312024120251202612027120281202912030120311203212033120341203512036120371203812039120401204112042120431204412045120461204712048120491205012051120521205312054120551205612057120581205912060120611206212063120641206512066120671206812069120701207112072120731207412075120761207712078120791208012081120821208312084120851208612087120881208912090120911209212093120941209512096120971209812099121001210112102121031210412105121061210712108121091211012111121121211312114121151211612117121181211912120121211212212123121241212512126121271212812129121301213112132121331213412135121361213712138121391214012141121421214312144121451214612147121481214912150121511215212153121541215512156121571215812159121601216112162121631216412165121661216712168121691217012171121721217312174121751217612177121781217912180121811218212183121841218512186121871218812189121901219112192121931219412195121961219712198121991220012201122021220312204122051220612207122081220912210122111221212213122141221512216122171221812219122201222112222122231222412225122261222712228122291223012231122321223312234122351223612237122381223912240122411224212243122441224512246122471224812249122501225112252122531225412255122561225712258122591226012261122621226312264122651226612267122681226912270122711227212273122741227512276122771227812279122801228112282122831228412285122861228712288122891229012291122921229312294122951229612297122981229912300123011230212303123041230512306123071230812309123101231112312123131231412315123161231712318123191232012321123221232312324123251232612327123281232912330123311233212333123341233512336123371233812339123401234112342
  1. /----------------------------------------------------------------------------\
  2. | yosys -- Yosys Open SYnthesis Suite |
  3. | Copyright (C) 2012 - 2024 Claire Xenia Wolf <claire@yosyshq.com> |
  4. | Distributed under an ISC-like license, type "license" to see terms |
  5. \----------------------------------------------------------------------------/
  6. Yosys 0.43 (git sha1 ead4718e5, g++ 14.2.1 -march=x86-64 -mtune=generic -O2 -fno-plt -fexceptions -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/build/yosys/src=/usr/src/debug/yosys -fPIC -Os)
  7. -- Executing script file `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/build-tmp/riscv_doom.ys' --
  8. 1. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/top.v
  9. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/top.v' to AST representation.
  10. Generating RTLIL representation for module `\top'.
  11. Successfully finished Verilog frontend.
  12. 2. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_ebr.v
  13. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_ebr.v' to AST representation.
  14. Generating RTLIL representation for module `\ice40_ebr'.
  15. Successfully finished Verilog frontend.
  16. 3. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_i2c_wb.v
  17. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_i2c_wb.v' to AST representation.
  18. Generating RTLIL representation for module `\ice40_i2c_wb'.
  19. Successfully finished Verilog frontend.
  20. 4. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_rgb_wb.v
  21. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_rgb_wb.v' to AST representation.
  22. Generating RTLIL representation for module `\ice40_rgb_wb'.
  23. Successfully finished Verilog frontend.
  24. 5. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spi_wb.v
  25. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spi_wb.v' to AST representation.
  26. Generating RTLIL representation for module `\ice40_spi_wb'.
  27. Successfully finished Verilog frontend.
  28. 6. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v
  29. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v' to AST representation.
  30. Generating RTLIL representation for module `\ice40_spram_gen'.
  31. ice40_spram_gen: ( 32768x 32) -> 2x 2 array of SPRAM
  32. ice40_spram_gen: ( 32768x 32) -> 2x 2 array of SPRAM
  33. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  34. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  35. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  36. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  37. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  38. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  39. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  40. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  41. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  42. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  43. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  44. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  45. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  46. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  47. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  48. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  49. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  50. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  51. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  52. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  53. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  54. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  55. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  56. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  57. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  58. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  59. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  60. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  61. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  62. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  63. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  64. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  65. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  66. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  67. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  68. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  69. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  70. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  71. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  72. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  73. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  74. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  75. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  76. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  77. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  78. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  79. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  80. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  81. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  82. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  83. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  84. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  85. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  86. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  87. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  88. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  89. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  90. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  91. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  92. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  93. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  94. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  95. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  96. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  97. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  98. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  99. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  100. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  101. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  102. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  103. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  104. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  105. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  106. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  107. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  108. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  109. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  110. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  111. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  112. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  113. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  114. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  115. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  116. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  117. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  118. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  119. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  120. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  121. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  122. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  123. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  124. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  125. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  126. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  127. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  128. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  129. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  130. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  131. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  132. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  133. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  134. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  135. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  136. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  137. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  138. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  139. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  140. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  141. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  142. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  143. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  144. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  145. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  146. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  147. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  148. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  149. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  150. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  151. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  152. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  153. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  154. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  155. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  156. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  157. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  158. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  159. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  160. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  161. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  162. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  163. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  164. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  165. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  166. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  167. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  168. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  169. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  170. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  171. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  172. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  173. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  174. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  175. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  176. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  177. Successfully finished Verilog frontend.
  178. 7. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_wb.v
  179. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_wb.v' to AST representation.
  180. Generating RTLIL representation for module `\ice40_spram_wb'.
  181. Successfully finished Verilog frontend.
  182. 8. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_iserdes.v
  183. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_iserdes.v' to AST representation.
  184. Generating RTLIL representation for module `\ice40_iserdes'.
  185. Successfully finished Verilog frontend.
  186. 9. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_oserdes.v
  187. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_oserdes.v' to AST representation.
  188. Generating RTLIL representation for module `\ice40_oserdes'.
  189. Successfully finished Verilog frontend.
  190. 10. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v
  191. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v' to AST representation.
  192. Generating RTLIL representation for module `\ice40_serdes_crg'.
  193. Successfully finished Verilog frontend.
  194. 11. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_dff.v
  195. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_dff.v' to AST representation.
  196. Generating RTLIL representation for module `\ice40_serdes_dff'.
  197. Successfully finished Verilog frontend.
  198. 12. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_sync.v
  199. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_sync.v' to AST representation.
  200. Generating RTLIL representation for module `\ice40_serdes_sync'.
  201. Successfully finished Verilog frontend.
  202. 13. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v
  203. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v' to AST representation.
  204. Generating RTLIL representation for module `\mc_bus_vex'.
  205. Successfully finished Verilog frontend.
  206. 14. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_wb.v
  207. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_wb.v' to AST representation.
  208. Generating RTLIL representation for module `\mc_bus_wb'.
  209. Successfully finished Verilog frontend.
  210. 15. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v
  211. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v' to AST representation.
  212. Generating RTLIL representation for module `\mc_core'.
  213. Warning: Replacing memory \way_tag_we with list of registers. See /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:446
  214. Warning: Replacing memory \way_age_nxt with list of registers. See /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:406
  215. Warning: Replacing memory \way_dirty_we with list of registers. See /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:377
  216. Warning: Replacing memory \way_dirty_nxt with list of registers. See /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:376
  217. Warning: Replacing memory \way_valid_we with list of registers. See /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:373
  218. Warning: Replacing memory \way_valid_nxt with list of registers. See /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:372
  219. Memory cache config :
  220. - 4 ways
  221. - 64 kbytes cache
  222. - 64 bytes cache lines
  223. - 64 Mbytes address space
  224. - 12/ 8/ 4 address split
  225. Memory cache config :
  226. - 4 ways
  227. - 64 kbytes cache
  228. - 64 bytes cache lines
  229. - 64 Mbytes address space
  230. - 12/ 8/ 4 address split
  231. Successfully finished Verilog frontend.
  232. 16. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_tag_match.v
  233. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_tag_match.v' to AST representation.
  234. Generating RTLIL representation for module `\mc_tag_match'.
  235. Successfully finished Verilog frontend.
  236. 17. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_tag_ram.v
  237. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_tag_ram.v' to AST representation.
  238. Generating RTLIL representation for module `\mc_tag_ram'.
  239. Cache tag memory config, 1 x 256 x 16
  240. Successfully finished Verilog frontend.
  241. 18. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/delay.v
  242. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/delay.v' to AST representation.
  243. Generating RTLIL representation for module `\delay_bit'.
  244. Generating RTLIL representation for module `\delay_bus'.
  245. Warning: Replacing memory \dl with list of registers. See /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/delay.v:59
  246. Generating RTLIL representation for module `\delay_toggle'.
  247. Successfully finished Verilog frontend.
  248. 19. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v
  249. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v' to AST representation.
  250. Generating RTLIL representation for module `\fifo_sync_ram'.
  251. Successfully finished Verilog frontend.
  252. 20. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v
  253. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v' to AST representation.
  254. Generating RTLIL representation for module `\fifo_sync_shift'.
  255. Successfully finished Verilog frontend.
  256. 21. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/glitch_filter.v
  257. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/glitch_filter.v' to AST representation.
  258. Generating RTLIL representation for module `\glitch_filter'.
  259. Successfully finished Verilog frontend.
  260. 22. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/i2c_master.v
  261. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/i2c_master.v' to AST representation.
  262. Generating RTLIL representation for module `\i2c_master'.
  263. Successfully finished Verilog frontend.
  264. 23. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/i2c_master_wb.v
  265. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/i2c_master_wb.v' to AST representation.
  266. Generating RTLIL representation for module `\i2c_master_wb'.
  267. Successfully finished Verilog frontend.
  268. 24. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/muacm2wb.v
  269. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/muacm2wb.v' to AST representation.
  270. Generating RTLIL representation for module `\muacm2wb'.
  271. Successfully finished Verilog frontend.
  272. 25. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/prims.v
  273. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/prims.v' to AST representation.
  274. Lexer warning: The SystemVerilog keyword `bit' (at /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/prims.v:31) is not recognized unless read_verilog is called with -sv!
  275. Lexer warning: The SystemVerilog keyword `bit' (at /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/prims.v:75) is not recognized unless read_verilog is called with -sv!
  276. Lexer warning: The SystemVerilog keyword `bit' (at /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/prims.v:117) is not recognized unless read_verilog is called with -sv!
  277. Lexer warning: The SystemVerilog keyword `bit' (at /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/prims.v:150) is not recognized unless read_verilog is called with -sv!
  278. Lexer warning: The SystemVerilog keyword `bit' (at /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/prims.v:186) is not recognized unless read_verilog is called with -sv!
  279. Lexer warning: The SystemVerilog keyword `bit' (at /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/prims.v:237) is not recognized unless read_verilog is called with -sv!
  280. Generating RTLIL representation for module `\lut4_n'.
  281. Generating RTLIL representation for module `\lut4_carry_n'.
  282. Generating RTLIL representation for module `\dff_n'.
  283. Generating RTLIL representation for module `\dffe_n'.
  284. Generating RTLIL representation for module `\dffer_n'.
  285. Generating RTLIL representation for module `\dffesr_n'.
  286. Successfully finished Verilog frontend.
  287. 26. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/pdm.v
  288. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/pdm.v' to AST representation.
  289. Warning: Yosys has only limited support for tri-state logic at the moment. (/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/pdm.v:91)
  290. Generating RTLIL representation for module `\pdm'.
  291. Generating RTLIL representation for module `\pdm_lfsr'.
  292. Successfully finished Verilog frontend.
  293. 27. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/pwm.v
  294. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/pwm.v' to AST representation.
  295. Warning: Yosys has only limited support for tri-state logic at the moment. (/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/pwm.v:69)
  296. Generating RTLIL representation for module `\pwm'.
  297. Successfully finished Verilog frontend.
  298. 28. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v
  299. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v' to AST representation.
  300. Generating RTLIL representation for module `\ram_sdp'.
  301. Successfully finished Verilog frontend.
  302. 29. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/stream2wb.v
  303. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/stream2wb.v' to AST representation.
  304. Generating RTLIL representation for module `\stream2wb'.
  305. Successfully finished Verilog frontend.
  306. 30. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart2wb.v
  307. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart2wb.v' to AST representation.
  308. Generating RTLIL representation for module `\uart2wb'.
  309. Successfully finished Verilog frontend.
  310. 31. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v
  311. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v' to AST representation.
  312. Generating RTLIL representation for module `\uart_rx'.
  313. Successfully finished Verilog frontend.
  314. 32. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v
  315. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v' to AST representation.
  316. Generating RTLIL representation for module `\uart_tx'.
  317. Successfully finished Verilog frontend.
  318. 33. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v
  319. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v' to AST representation.
  320. Generating RTLIL representation for module `\uart_wb'.
  321. Successfully finished Verilog frontend.
  322. 34. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/xclk_strobe.v
  323. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/xclk_strobe.v' to AST representation.
  324. Generating RTLIL representation for module `\xclk_strobe'.
  325. Successfully finished Verilog frontend.
  326. 35. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/xclk_wb.v
  327. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/xclk_wb.v' to AST representation.
  328. Generating RTLIL representation for module `\xclk_wb'.
  329. Successfully finished Verilog frontend.
  330. 36. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v
  331. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v' to AST representation.
  332. Generating RTLIL representation for module `\qpi_memctrl'.
  333. Successfully finished Verilog frontend.
  334. 37. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_phy_ice40_1x.v
  335. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_phy_ice40_1x.v' to AST representation.
  336. Generating RTLIL representation for module `\qpi_phy_ice40_1x'.
  337. Successfully finished Verilog frontend.
  338. 38. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_phy_ice40_2x.v
  339. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_phy_ice40_2x.v' to AST representation.
  340. Generating RTLIL representation for module `\qpi_phy_ice40_2x'.
  341. Successfully finished Verilog frontend.
  342. 39. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_phy_ice40_4x.v
  343. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_phy_ice40_4x.v' to AST representation.
  344. Lexer warning: The SystemVerilog keyword `bit' (at /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_phy_ice40_4x.v:57) is not recognized unless read_verilog is called with -sv!
  345. Generating RTLIL representation for module `\qpi_phy_ice40_4x'.
  346. Successfully finished Verilog frontend.
  347. 40. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2usb//rtl/usb.v
  348. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2usb//rtl/usb.v' to AST representation.
  349. Generating RTLIL representation for module `\usb'.
  350. Successfully finished Verilog frontend.
  351. 41. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2usb//rtl/usb_crc.v
  352. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2usb//rtl/usb_crc.v' to AST representation.
  353. Generating RTLIL representation for module `\usb_crc'.
  354. Successfully finished Verilog frontend.
  355. 42. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2usb//rtl/usb_ep_buf.v
  356. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2usb//rtl/usb_ep_buf.v' to AST representation.
  357. Generating RTLIL representation for module `\usb_ep_buf'.
  358. READ_MODE : 3
  359. WRITE_MODE : 3
  360. Successfully finished Verilog frontend.
  361. 43. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2usb//rtl/usb_ep_status.v
  362. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2usb//rtl/usb_ep_status.v' to AST representation.
  363. Generating RTLIL representation for module `\usb_ep_status'.
  364. Successfully finished Verilog frontend.
  365. 44. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2usb//rtl/usb_phy.v
  366. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2usb//rtl/usb_phy.v' to AST representation.
  367. Generating RTLIL representation for module `\usb_phy'.
  368. Successfully finished Verilog frontend.
  369. 45. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2usb//rtl/usb_rx_ll.v
  370. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2usb//rtl/usb_rx_ll.v' to AST representation.
  371. Generating RTLIL representation for module `\usb_rx_ll'.
  372. Successfully finished Verilog frontend.
  373. 46. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2usb//rtl/usb_rx_pkt.v
  374. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2usb//rtl/usb_rx_pkt.v' to AST representation.
  375. Generating RTLIL representation for module `\usb_rx_pkt'.
  376. Successfully finished Verilog frontend.
  377. 47. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2usb//rtl/usb_trans.v
  378. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2usb//rtl/usb_trans.v' to AST representation.
  379. Generating RTLIL representation for module `\usb_trans'.
  380. Successfully finished Verilog frontend.
  381. 48. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2usb//rtl/usb_tx_ll.v
  382. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2usb//rtl/usb_tx_ll.v' to AST representation.
  383. Generating RTLIL representation for module `\usb_tx_ll'.
  384. Successfully finished Verilog frontend.
  385. 49. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2usb//rtl/usb_tx_pkt.v
  386. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2usb//rtl/usb_tx_pkt.v' to AST representation.
  387. Generating RTLIL representation for module `\usb_tx_pkt'.
  388. Successfully finished Verilog frontend.
  389. 50. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/hdmi_phy_1x.v
  390. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/hdmi_phy_1x.v' to AST representation.
  391. Generating RTLIL representation for module `\hdmi_phy_1x'.
  392. Successfully finished Verilog frontend.
  393. 51. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/hdmi_phy_2x.v
  394. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/hdmi_phy_2x.v' to AST representation.
  395. Generating RTLIL representation for module `\hdmi_phy_2x'.
  396. Successfully finished Verilog frontend.
  397. 52. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/hdmi_phy_4x.v
  398. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/hdmi_phy_4x.v' to AST representation.
  399. Generating RTLIL representation for module `\hdmi_phy_4x'.
  400. Successfully finished Verilog frontend.
  401. 53. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/hdmi_text_2x.v
  402. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/hdmi_text_2x.v' to AST representation.
  403. Generating RTLIL representation for module `\hdmi_text_2x'.
  404. Successfully finished Verilog frontend.
  405. 54. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_shared_ram.v
  406. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_shared_ram.v' to AST representation.
  407. Generating RTLIL representation for module `\vid_shared_ram'.
  408. Successfully finished Verilog frontend.
  409. 55. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_text.v
  410. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_text.v' to AST representation.
  411. Generating RTLIL representation for module `\vid_text'.
  412. Generating RTLIL representation for module `\vid_color_map'.
  413. Successfully finished Verilog frontend.
  414. 56. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v
  415. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v' to AST representation.
  416. Generating RTLIL representation for module `\vid_tgen'.
  417. Successfully finished Verilog frontend.
  418. 57. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v
  419. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v' to AST representation.
  420. Generating RTLIL representation for module `\vid_top'.
  421. Successfully finished Verilog frontend.
  422. 58. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_palette.v
  423. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_palette.v' to AST representation.
  424. Generating RTLIL representation for module `\vid_palette'.
  425. Successfully finished Verilog frontend.
  426. 59. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_framebuf.v
  427. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_framebuf.v' to AST representation.
  428. Generating RTLIL representation for module `\vid_framebuf'.
  429. Successfully finished Verilog frontend.
  430. 60. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v
  431. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v' to AST representation.
  432. Generating RTLIL representation for module `\soc_bram'.
  433. Successfully finished Verilog frontend.
  434. 61. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/sysmgr.v
  435. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/sysmgr.v' to AST representation.
  436. Generating RTLIL representation for module `\sysmgr'.
  437. Successfully finished Verilog frontend.
  438. 62. Executing Verilog-2005 frontend: /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v
  439. Parsing Verilog input from `/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v' to AST representation.
  440. Generating RTLIL representation for module `\InstructionCache'.
  441. Generating RTLIL representation for module `\VexRiscv'.
  442. Successfully finished Verilog frontend.
  443. 63. Executing SYNTH_ICE40 pass.
  444. 63.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/ice40/cells_sim.v
  445. Parsing Verilog input from `/usr/bin/../share/yosys/ice40/cells_sim.v' to AST representation.
  446. Generating RTLIL representation for module `\SB_IO'.
  447. Generating RTLIL representation for module `\SB_GB_IO'.
  448. Generating RTLIL representation for module `\SB_GB'.
  449. Generating RTLIL representation for module `\SB_LUT4'.
  450. Generating RTLIL representation for module `\SB_CARRY'.
  451. Generating RTLIL representation for module `\SB_DFF'.
  452. Generating RTLIL representation for module `\SB_DFFE'.
  453. Generating RTLIL representation for module `\SB_DFFSR'.
  454. Generating RTLIL representation for module `\SB_DFFR'.
  455. Generating RTLIL representation for module `\SB_DFFSS'.
  456. Generating RTLIL representation for module `\SB_DFFS'.
  457. Generating RTLIL representation for module `\SB_DFFESR'.
  458. Generating RTLIL representation for module `\SB_DFFER'.
  459. Generating RTLIL representation for module `\SB_DFFESS'.
  460. Generating RTLIL representation for module `\SB_DFFES'.
  461. Generating RTLIL representation for module `\SB_DFFN'.
  462. Generating RTLIL representation for module `\SB_DFFNE'.
  463. Generating RTLIL representation for module `\SB_DFFNSR'.
  464. Generating RTLIL representation for module `\SB_DFFNR'.
  465. Generating RTLIL representation for module `\SB_DFFNSS'.
  466. Generating RTLIL representation for module `\SB_DFFNS'.
  467. Generating RTLIL representation for module `\SB_DFFNESR'.
  468. Generating RTLIL representation for module `\SB_DFFNER'.
  469. Generating RTLIL representation for module `\SB_DFFNESS'.
  470. Generating RTLIL representation for module `\SB_DFFNES'.
  471. Generating RTLIL representation for module `\SB_RAM40_4K'.
  472. Generating RTLIL representation for module `\SB_RAM40_4KNR'.
  473. Generating RTLIL representation for module `\SB_RAM40_4KNW'.
  474. Generating RTLIL representation for module `\SB_RAM40_4KNRNW'.
  475. Generating RTLIL representation for module `\ICESTORM_LC'.
  476. Generating RTLIL representation for module `\SB_PLL40_CORE'.
  477. Generating RTLIL representation for module `\SB_PLL40_PAD'.
  478. Generating RTLIL representation for module `\SB_PLL40_2_PAD'.
  479. Generating RTLIL representation for module `\SB_PLL40_2F_CORE'.
  480. Generating RTLIL representation for module `\SB_PLL40_2F_PAD'.
  481. Generating RTLIL representation for module `\SB_WARMBOOT'.
  482. Generating RTLIL representation for module `\SB_SPRAM256KA'.
  483. Generating RTLIL representation for module `\SB_HFOSC'.
  484. Generating RTLIL representation for module `\SB_LFOSC'.
  485. Generating RTLIL representation for module `\SB_RGBA_DRV'.
  486. Generating RTLIL representation for module `\SB_LED_DRV_CUR'.
  487. Generating RTLIL representation for module `\SB_RGB_DRV'.
  488. Generating RTLIL representation for module `\SB_I2C'.
  489. Generating RTLIL representation for module `\SB_SPI'.
  490. Generating RTLIL representation for module `\SB_LEDDA_IP'.
  491. Generating RTLIL representation for module `\SB_FILTER_50NS'.
  492. Generating RTLIL representation for module `\SB_IO_I3C'.
  493. Generating RTLIL representation for module `\SB_IO_OD'.
  494. Generating RTLIL representation for module `\SB_MAC16'.
  495. Generating RTLIL representation for module `\ICESTORM_RAM'.
  496. Successfully finished Verilog frontend.
  497. 63.2. Executing HIERARCHY pass (managing design hierarchy).
  498. 63.2.1. Analyzing design hierarchy..
  499. Top module: \top
  500. Used module: \sysmgr
  501. Used module: \ice40_serdes_sync
  502. Used module: \ice40_serdes_dff
  503. Used module: \ice40_serdes_crg
  504. Used module: \ice40_rgb_wb
  505. Used module: \uart_wb
  506. Used module: \fifo_sync_ram
  507. Used module: \ram_sdp
  508. Used module: \uart_rx
  509. Used module: \glitch_filter
  510. Used module: \uart_tx
  511. Used module: \vid_top
  512. Used module: \hdmi_phy_1x
  513. Used module: \delay_bit
  514. Used module: \vid_tgen
  515. Used module: \dffer_n
  516. Used module: \vid_palette
  517. Used module: \vid_framebuf
  518. Used module: \qpi_phy_ice40_4x
  519. Used module: \ice40_oserdes
  520. Used module: \ice40_iserdes
  521. Used module: \qpi_memctrl
  522. Used module: \fifo_sync_shift
  523. Used module: \delay_bus
  524. Used module: \mc_core
  525. Used module: \mc_tag_match
  526. Used module: \mc_tag_ram
  527. Used module: \ice40_ebr
  528. Used module: \ice40_spram_gen
  529. Used module: \soc_bram
  530. Used module: \mc_bus_vex
  531. Used module: \VexRiscv
  532. Used module: \InstructionCache
  533. Parameter \CURRENT_MODE = 24'001100000110001000110001
  534. Parameter \RGB0_CURRENT = 64'0011000001100010001100000011000000110000001100000011000000110001
  535. Parameter \RGB1_CURRENT = 64'0011000001100010001100000011000000110000001100000011000000110001
  536. Parameter \RGB2_CURRENT = 64'0011000001100010001100000011000000110000001100000011000000110001
  537. 63.2.2. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_rgb_wb'.
  538. Parameter \CURRENT_MODE = 24'001100000110001000110001
  539. Parameter \RGB0_CURRENT = 64'0011000001100010001100000011000000110000001100000011000000110001
  540. Parameter \RGB1_CURRENT = 64'0011000001100010001100000011000000110000001100000011000000110001
  541. Parameter \RGB2_CURRENT = 64'0011000001100010001100000011000000110000001100000011000000110001
  542. Generating RTLIL representation for module `$paramod$cfcaad11b8c62f8a1e1624a27a246465a59e45e7\ice40_rgb_wb'.
  543. Parameter \DIV_WIDTH = 12
  544. Parameter \DW = 32
  545. 63.2.3. Executing AST frontend in derive mode using pre-parsed AST for module `\uart_wb'.
  546. Parameter \DIV_WIDTH = 12
  547. Parameter \DW = 32
  548. Generating RTLIL representation for module `$paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb'.
  549. Parameter \N_CS = 2
  550. Parameter \WITH_CLK = 1
  551. 63.2.4. Executing AST frontend in derive mode using pre-parsed AST for module `\qpi_phy_ice40_4x'.
  552. Parameter \N_CS = 2
  553. Parameter \WITH_CLK = 1
  554. Generating RTLIL representation for module `$paramod$9a9e2282df54acafd6229f692355382480e5c2ad\qpi_phy_ice40_4x'.
  555. Parameter \CMD_READ = 16'1110101100001011
  556. Parameter \CMD_WRITE = 16'0000001000000010
  557. Parameter \DUMMY_CLK = 6
  558. Parameter \PAUSE_CLK = 8
  559. Parameter \FIFO_DEPTH = 1
  560. Parameter \N_CS = 2
  561. Parameter \PHY_SPEED = 4
  562. Parameter \PHY_WIDTH = 1
  563. Parameter \PHY_DELAY = 4
  564. 63.2.5. Executing AST frontend in derive mode using pre-parsed AST for module `\qpi_memctrl'.
  565. Parameter \CMD_READ = 16'1110101100001011
  566. Parameter \CMD_WRITE = 16'0000001000000010
  567. Parameter \DUMMY_CLK = 6
  568. Parameter \PAUSE_CLK = 8
  569. Parameter \FIFO_DEPTH = 1
  570. Parameter \N_CS = 2
  571. Parameter \PHY_SPEED = 4
  572. Parameter \PHY_WIDTH = 1
  573. Parameter \PHY_DELAY = 4
  574. Generating RTLIL representation for module `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl'.
  575. Parameter \N_WAYS = 4
  576. Parameter \ADDR_WIDTH = 24
  577. Parameter \CACHE_LINE = 32
  578. Parameter \CACHE_SIZE = 64
  579. 63.2.6. Executing AST frontend in derive mode using pre-parsed AST for module `\mc_core'.
  580. Parameter \N_WAYS = 4
  581. Parameter \ADDR_WIDTH = 24
  582. Parameter \CACHE_LINE = 32
  583. Parameter \CACHE_SIZE = 64
  584. Generating RTLIL representation for module `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core'.
  585. Warning: Replacing memory \way_tag_we with list of registers. See /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:446
  586. Warning: Replacing memory \way_age_nxt with list of registers. See /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:406
  587. Warning: Replacing memory \way_dirty_we with list of registers. See /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:377
  588. Warning: Replacing memory \way_dirty_nxt with list of registers. See /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:376
  589. Warning: Replacing memory \way_valid_we with list of registers. See /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:373
  590. Warning: Replacing memory \way_valid_nxt with list of registers. See /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:372
  591. Memory cache config :
  592. - 4 ways
  593. - 64 kbytes cache
  594. - 32 bytes cache lines
  595. - 64 Mbytes address space
  596. - 12/ 9/ 3 address split
  597. Memory cache config :
  598. - 4 ways
  599. - 64 kbytes cache
  600. - 32 bytes cache lines
  601. - 64 Mbytes address space
  602. - 12/ 9/ 3 address split
  603. Parameter \AW = 8
  604. Parameter \INIT_FILE = 64'0110001001101111011011110111010000101110011010000110010101111000
  605. 63.2.7. Executing AST frontend in derive mode using pre-parsed AST for module `\soc_bram'.
  606. Parameter \AW = 8
  607. Parameter \INIT_FILE = 64'0110001001101111011011110111010000101110011010000110010101111000
  608. Generating RTLIL representation for module `$paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram'.
  609. Parameter \WB_N = 4
  610. 63.2.8. Executing AST frontend in derive mode using pre-parsed AST for module `\mc_bus_vex'.
  611. Parameter \WB_N = 4
  612. Generating RTLIL representation for module `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100'.
  613. Reprocessing module top because instantiated module ice40_rgb_wb has become available.
  614. Generating RTLIL representation for module `\top'.
  615. Parameter \L = 2
  616. Parameter \RST_VAL = 1'1
  617. Parameter \WITH_SYNCHRONIZER = 1
  618. 63.2.9. Executing AST frontend in derive mode using pre-parsed AST for module `\glitch_filter'.
  619. Parameter \L = 2
  620. Parameter \RST_VAL = 1'1
  621. Parameter \WITH_SYNCHRONIZER = 1
  622. Generating RTLIL representation for module `$paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter'.
  623. Parameter \TAG_WIDTH = 12
  624. 63.2.10. Executing AST frontend in derive mode using pre-parsed AST for module `\mc_tag_match'.
  625. Parameter \TAG_WIDTH = 12
  626. Generating RTLIL representation for module `$paramod\mc_tag_match\TAG_WIDTH=s32'00000000000000000000000000001100'.
  627. Parameter \TAG_WIDTH = 12
  628. Found cached RTLIL representation for module `$paramod\mc_tag_match\TAG_WIDTH=s32'00000000000000000000000000001100'.
  629. Parameter \TAG_WIDTH = 12
  630. Found cached RTLIL representation for module `$paramod\mc_tag_match\TAG_WIDTH=s32'00000000000000000000000000001100'.
  631. Parameter \TAG_WIDTH = 12
  632. Found cached RTLIL representation for module `$paramod\mc_tag_match\TAG_WIDTH=s32'00000000000000000000000000001100'.
  633. Parameter \IDX_WIDTH = 8
  634. Parameter \TAG_WIDTH = 12
  635. Parameter \AGE_WIDTH = 2
  636. 63.2.11. Executing AST frontend in derive mode using pre-parsed AST for module `\mc_tag_ram'.
  637. Parameter \IDX_WIDTH = 8
  638. Parameter \TAG_WIDTH = 12
  639. Parameter \AGE_WIDTH = 2
  640. Generating RTLIL representation for module `$paramod$f397632cf779d01999ffbcfc67eeb9a2da1f7b6b\mc_tag_ram'.
  641. Cache tag memory config, 1 x 256 x 16
  642. Parameter \IDX_WIDTH = 8
  643. Parameter \TAG_WIDTH = 12
  644. Parameter \AGE_WIDTH = 2
  645. Found cached RTLIL representation for module `$paramod$f397632cf779d01999ffbcfc67eeb9a2da1f7b6b\mc_tag_ram'.
  646. Parameter \IDX_WIDTH = 8
  647. Parameter \TAG_WIDTH = 12
  648. Parameter \AGE_WIDTH = 2
  649. Found cached RTLIL representation for module `$paramod$f397632cf779d01999ffbcfc67eeb9a2da1f7b6b\mc_tag_ram'.
  650. Parameter \IDX_WIDTH = 8
  651. Parameter \TAG_WIDTH = 12
  652. Parameter \AGE_WIDTH = 2
  653. Found cached RTLIL representation for module `$paramod$f397632cf779d01999ffbcfc67eeb9a2da1f7b6b\mc_tag_ram'.
  654. Parameter \ADDR_WIDTH = 14
  655. Parameter \DATA_WIDTH = 32
  656. 63.2.12. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_spram_gen'.
  657. Parameter \ADDR_WIDTH = 14
  658. Parameter \DATA_WIDTH = 32
  659. Generating RTLIL representation for module `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen'.
  660. ice40_spram_gen: ( 16384x 32) -> 1x 2 array of SPRAM
  661. ice40_spram_gen: ( 16384x 32) -> 1x 2 array of SPRAM
  662. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  663. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  664. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  665. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  666. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  667. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  668. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  669. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  670. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  671. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  672. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  673. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  674. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  675. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  676. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  677. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  678. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  679. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  680. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  681. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  682. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  683. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  684. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  685. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  686. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  687. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  688. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  689. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  690. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  691. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  692. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  693. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  694. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  695. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  696. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  697. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  698. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  699. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  700. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  701. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  702. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  703. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  704. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  705. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  706. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  707. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  708. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  709. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  710. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  711. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  712. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  713. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  714. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  715. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  716. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  717. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  718. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  719. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  720. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  721. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  722. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  723. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  724. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  725. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  726. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  727. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  728. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  729. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  730. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  731. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  732. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  733. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  734. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  735. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  736. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  737. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  738. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  739. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  740. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  741. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  742. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  743. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  744. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  745. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  746. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  747. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  748. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  749. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  750. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  751. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  752. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  753. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  754. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  755. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  756. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  757. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  758. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  759. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  760. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  761. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  762. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  763. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  764. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  765. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  766. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  767. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  768. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  769. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  770. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  771. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  772. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  773. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  774. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  775. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  776. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  777. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  778. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  779. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  780. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  781. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  782. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  783. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  784. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  785. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  786. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  787. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  788. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  789. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  790. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  791. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  792. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  793. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  794. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  795. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  796. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  797. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  798. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  799. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  800. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  801. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  802. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  803. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  804. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  805. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  806. Reprocessing module mc_core because instantiated module mc_tag_match has become available.
  807. Generating RTLIL representation for module `\mc_core'.
  808. Warning: Replacing memory \way_tag_we with list of registers. See /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:446
  809. Warning: Replacing memory \way_age_nxt with list of registers. See /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:406
  810. Warning: Replacing memory \way_dirty_we with list of registers. See /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:377
  811. Warning: Replacing memory \way_dirty_nxt with list of registers. See /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:376
  812. Warning: Replacing memory \way_valid_we with list of registers. See /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:373
  813. Warning: Replacing memory \way_valid_nxt with list of registers. See /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:372
  814. Memory cache config :
  815. - 4 ways
  816. - 64 kbytes cache
  817. - 64 bytes cache lines
  818. - 64 Mbytes address space
  819. - 12/ 8/ 4 address split
  820. Memory cache config :
  821. - 4 ways
  822. - 64 kbytes cache
  823. - 64 bytes cache lines
  824. - 64 Mbytes address space
  825. - 12/ 8/ 4 address split
  826. Parameter \DEPTH = 1
  827. Parameter \WIDTH = 32
  828. 63.2.13. Executing AST frontend in derive mode using pre-parsed AST for module `\fifo_sync_shift'.
  829. Parameter \DEPTH = 1
  830. Parameter \WIDTH = 32
  831. Generating RTLIL representation for module `$paramod$dafc3a7f4a5bcc8b3adb4c007b6f574c47147813\fifo_sync_shift'.
  832. Parameter \DEPTH = 1
  833. Parameter \WIDTH = 36
  834. 63.2.14. Executing AST frontend in derive mode using pre-parsed AST for module `\fifo_sync_shift'.
  835. Parameter \DEPTH = 1
  836. Parameter \WIDTH = 36
  837. Generating RTLIL representation for module `$paramod$8b22e2c4b4d1e8b8ca3524a85d03e9beac836863\fifo_sync_shift'.
  838. Parameter 1 (\DELAY) = 6
  839. Parameter 2 (\WIDTH) = 2
  840. 63.2.15. Executing AST frontend in derive mode using pre-parsed AST for module `\delay_bus'.
  841. Parameter 1 (\DELAY) = 6
  842. Parameter 2 (\WIDTH) = 2
  843. Generating RTLIL representation for module `$paramod$207d30fa21ecab167490f434b739f4dde492aa96\delay_bus'.
  844. Warning: Replacing memory \dl with list of registers. See /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/delay.v:59
  845. Parameter 1 (\DELAY) = 6
  846. 63.2.16. Executing AST frontend in derive mode using pre-parsed AST for module `\delay_bit'.
  847. Parameter 1 (\DELAY) = 6
  848. Generating RTLIL representation for module `$paramod\delay_bit\DELAY=s32'00000000000000000000000000000110'.
  849. Parameter \MODE = 64'0100001101001100010010110011100100110000010111110011010001011000
  850. Parameter \SERDES_GRP = 64
  851. 63.2.17. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_oserdes'.
  852. Parameter \MODE = 64'0100001101001100010010110011100100110000010111110011010001011000
  853. Parameter \SERDES_GRP = 64
  854. Generating RTLIL representation for module `$paramod$ee5fa83b1ca770f4f834672f5d772cff0c2d7889\ice40_oserdes'.
  855. Parameter \EDGE_SEL = 80'01010011010010010100111001000111010011000100010101011111010100000100111101010011
  856. Parameter \PHASE_SEL = 48'010100110101010001000001010101000100100101000011
  857. Parameter \PHASE = 1
  858. Parameter \SERDES_GRP = 48
  859. 63.2.18. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_iserdes'.
  860. Parameter \EDGE_SEL = 80'01010011010010010100111001000111010011000100010101011111010100000100111101010011
  861. Parameter \PHASE_SEL = 48'010100110101010001000001010101000100100101000011
  862. Parameter \PHASE = 1
  863. Parameter \SERDES_GRP = 48
  864. Generating RTLIL representation for module `$paramod$0e9701ec0ff3618e82c6b3c7773f21bce1c1aa12\ice40_iserdes'.
  865. Parameter \MODE = 1145132097
  866. Parameter \SERDES_GRP = 48
  867. 63.2.19. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_oserdes'.
  868. Parameter \MODE = 1145132097
  869. Parameter \SERDES_GRP = 48
  870. Generating RTLIL representation for module `$paramod$863b677bc445782f1534bda513d3ab7f02676b5c\ice40_oserdes'.
  871. Parameter \MODE = 1145132097
  872. Parameter \SERDES_GRP = 50
  873. 63.2.20. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_oserdes'.
  874. Parameter \MODE = 1145132097
  875. Parameter \SERDES_GRP = 50
  876. Generating RTLIL representation for module `$paramod$75c621542c1e9767613d02b1f3511b93ee44cfa1\ice40_oserdes'.
  877. Parameter \EDGE_SEL = 80'01010011010010010100111001000111010011000100010101011111010100000100111101010011
  878. Parameter \PHASE_SEL = 48'010100110101010001000001010101000100100101000011
  879. Parameter \PHASE = 1
  880. Parameter \SERDES_GRP = 32
  881. 63.2.21. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_iserdes'.
  882. Parameter \EDGE_SEL = 80'01010011010010010100111001000111010011000100010101011111010100000100111101010011
  883. Parameter \PHASE_SEL = 48'010100110101010001000001010101000100100101000011
  884. Parameter \PHASE = 1
  885. Parameter \SERDES_GRP = 32
  886. Generating RTLIL representation for module `$paramod$67159177885044a3572460fa032eb51bd80cf94f\ice40_iserdes'.
  887. Parameter \MODE = 1145132097
  888. Parameter \SERDES_GRP = 32
  889. 63.2.22. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_oserdes'.
  890. Parameter \MODE = 1145132097
  891. Parameter \SERDES_GRP = 32
  892. Generating RTLIL representation for module `$paramod$fe37dab75e68305ee07af1db6f0f96490b9fdc39\ice40_oserdes'.
  893. Parameter \MODE = 1145132097
  894. Parameter \SERDES_GRP = 34
  895. 63.2.23. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_oserdes'.
  896. Parameter \MODE = 1145132097
  897. Parameter \SERDES_GRP = 34
  898. Generating RTLIL representation for module `$paramod$a13561ec4a4d594cc35622ccd91582314db7bb43\ice40_oserdes'.
  899. Parameter \EDGE_SEL = 80'01010011010010010100111001000111010011000100010101011111010100000100111101010011
  900. Parameter \PHASE_SEL = 48'010100110101010001000001010101000100100101000011
  901. Parameter \PHASE = 1
  902. Parameter \SERDES_GRP = 16
  903. 63.2.24. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_iserdes'.
  904. Parameter \EDGE_SEL = 80'01010011010010010100111001000111010011000100010101011111010100000100111101010011
  905. Parameter \PHASE_SEL = 48'010100110101010001000001010101000100100101000011
  906. Parameter \PHASE = 1
  907. Parameter \SERDES_GRP = 16
  908. Generating RTLIL representation for module `$paramod$14e5d95e036b4742363dfea0c94e50791839025a\ice40_iserdes'.
  909. Parameter \MODE = 1145132097
  910. Parameter \SERDES_GRP = 16
  911. 63.2.25. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_oserdes'.
  912. Parameter \MODE = 1145132097
  913. Parameter \SERDES_GRP = 16
  914. Generating RTLIL representation for module `$paramod$5c6db5a604a4655a57dfd9340bd964139a251510\ice40_oserdes'.
  915. Parameter \MODE = 1145132097
  916. Parameter \SERDES_GRP = 18
  917. 63.2.26. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_oserdes'.
  918. Parameter \MODE = 1145132097
  919. Parameter \SERDES_GRP = 18
  920. Generating RTLIL representation for module `$paramod$087675b5e9c65e52d59a156f0ccae4f673504c8c\ice40_oserdes'.
  921. Parameter \EDGE_SEL = 80'01010011010010010100111001000111010011000100010101011111010100000100111101010011
  922. Parameter \PHASE_SEL = 48'010100110101010001000001010101000100100101000011
  923. Parameter \PHASE = 1
  924. Parameter \SERDES_GRP = 0
  925. 63.2.27. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_iserdes'.
  926. Parameter \EDGE_SEL = 80'01010011010010010100111001000111010011000100010101011111010100000100111101010011
  927. Parameter \PHASE_SEL = 48'010100110101010001000001010101000100100101000011
  928. Parameter \PHASE = 1
  929. Parameter \SERDES_GRP = 0
  930. Generating RTLIL representation for module `$paramod$c937df4c258ba3feed83404fb87e6cc4fb9fbffd\ice40_iserdes'.
  931. Parameter \MODE = 1145132097
  932. Parameter \SERDES_GRP = 0
  933. 63.2.28. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_oserdes'.
  934. Parameter \MODE = 1145132097
  935. Parameter \SERDES_GRP = 0
  936. Generating RTLIL representation for module `$paramod$917d62ab073ab2caba68f39824d52335f3c1a5e3\ice40_oserdes'.
  937. Parameter \MODE = 1145132097
  938. Parameter \SERDES_GRP = 2
  939. 63.2.29. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_oserdes'.
  940. Parameter \MODE = 1145132097
  941. Parameter \SERDES_GRP = 2
  942. Generating RTLIL representation for module `$paramod$9a9c4528a326fac997fd1fc463b8f4223e2e4501\ice40_oserdes'.
  943. Parameter \DW = 12
  944. 63.2.30. Executing AST frontend in derive mode using pre-parsed AST for module `\hdmi_phy_1x'.
  945. Parameter \DW = 12
  946. Generating RTLIL representation for module `$paramod\hdmi_phy_1x\DW=s32'00000000000000000000000000001100'.
  947. Parameter 1 (\DELAY) = 4
  948. 63.2.31. Executing AST frontend in derive mode using pre-parsed AST for module `\delay_bit'.
  949. Parameter 1 (\DELAY) = 4
  950. Generating RTLIL representation for module `$paramod\delay_bit\DELAY=s32'00000000000000000000000000000100'.
  951. Parameter 1 (\DELAY) = 4
  952. Found cached RTLIL representation for module `$paramod\delay_bit\DELAY=s32'00000000000000000000000000000100'.
  953. Parameter 1 (\DELAY) = 4
  954. Found cached RTLIL representation for module `$paramod\delay_bit\DELAY=s32'00000000000000000000000000000100'.
  955. Parameter \H_WIDTH = 10
  956. Parameter \H_FP = 16
  957. Parameter \H_SYNC = 96
  958. Parameter \H_BP = 48
  959. Parameter \H_ACTIVE = 640
  960. Parameter \V_WIDTH = 9
  961. Parameter \V_FP = 10
  962. Parameter \V_SYNC = 2
  963. Parameter \V_BP = 33
  964. Parameter \V_ACTIVE = 480
  965. 63.2.32. Executing AST frontend in derive mode using pre-parsed AST for module `\vid_tgen'.
  966. Parameter \H_WIDTH = 10
  967. Parameter \H_FP = 16
  968. Parameter \H_SYNC = 96
  969. Parameter \H_BP = 48
  970. Parameter \H_ACTIVE = 640
  971. Parameter \V_WIDTH = 9
  972. Parameter \V_FP = 10
  973. Parameter \V_SYNC = 2
  974. Parameter \V_BP = 33
  975. Parameter \V_ACTIVE = 480
  976. Generating RTLIL representation for module `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen'.
  977. Parameter \DEPTH = 512
  978. Parameter \WIDTH = 8
  979. 63.2.33. Executing AST frontend in derive mode using pre-parsed AST for module `\fifo_sync_ram'.
  980. Parameter \DEPTH = 512
  981. Parameter \WIDTH = 8
  982. Generating RTLIL representation for module `$paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram'.
  983. Parameter \DIV_WIDTH = 8
  984. Parameter \GLITCH_FILTER = 2
  985. 63.2.34. Executing AST frontend in derive mode using pre-parsed AST for module `\uart_rx'.
  986. Parameter \DIV_WIDTH = 8
  987. Parameter \GLITCH_FILTER = 2
  988. Generating RTLIL representation for module `$paramod$7f9c9dc10c5023dd2cab0d7f15aed8a846ffdc0f\uart_rx'.
  989. Parameter \DEPTH = 512
  990. Parameter \WIDTH = 8
  991. Found cached RTLIL representation for module `$paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram'.
  992. Parameter \DIV_WIDTH = 8
  993. 63.2.35. Executing AST frontend in derive mode using pre-parsed AST for module `\uart_tx'.
  994. Parameter \DIV_WIDTH = 8
  995. Generating RTLIL representation for module `$paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001000'.
  996. Parameter \PHASE = 2
  997. Parameter \NEG_EDGE = 0
  998. Parameter \GLOBAL_BUF = 0
  999. Parameter \BEL_COL = 24'010110000011001000110000
  1000. Parameter \BEL_ROW = 16'0101100100110100
  1001. 63.2.36. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_sync'.
  1002. Parameter \PHASE = 2
  1003. Parameter \NEG_EDGE = 0
  1004. Parameter \GLOBAL_BUF = 0
  1005. Parameter \BEL_COL = 24'010110000011001000110000
  1006. Parameter \BEL_ROW = 16'0101100100110100
  1007. Generating RTLIL representation for module `$paramod$ee292efbb55924cacfdc6c8744bdb7148f59d2f1\ice40_serdes_sync'.
  1008. Parameter \NO_CLOCK_2X = 1
  1009. 63.2.37. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_crg'.
  1010. Parameter \NO_CLOCK_2X = 1
  1011. Generating RTLIL representation for module `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001'.
  1012. Reprocessing module ice40_spram_gen because instantiated module SB_SPRAM256KA has become available.
  1013. Generating RTLIL representation for module `\ice40_spram_gen'.
  1014. ice40_spram_gen: ( 32768x 32) -> 2x 2 array of SPRAM
  1015. ice40_spram_gen: ( 32768x 32) -> 2x 2 array of SPRAM
  1016. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  1017. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  1018. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  1019. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  1020. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  1021. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  1022. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  1023. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  1024. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  1025. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  1026. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  1027. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  1028. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  1029. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  1030. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  1031. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  1032. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  1033. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  1034. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  1035. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  1036. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  1037. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  1038. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  1039. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  1040. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  1041. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  1042. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  1043. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  1044. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  1045. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  1046. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  1047. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  1048. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  1049. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  1050. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  1051. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  1052. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  1053. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  1054. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  1055. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  1056. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  1057. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  1058. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  1059. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  1060. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  1061. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  1062. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  1063. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  1064. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  1065. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  1066. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  1067. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  1068. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  1069. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  1070. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  1071. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  1072. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  1073. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  1074. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  1075. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  1076. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  1077. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  1078. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  1079. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  1080. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  1081. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  1082. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  1083. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  1084. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  1085. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  1086. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  1087. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  1088. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  1089. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  1090. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  1091. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  1092. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  1093. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  1094. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  1095. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  1096. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  1097. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  1098. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  1099. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  1100. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  1101. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  1102. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  1103. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  1104. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  1105. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  1106. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  1107. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  1108. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  1109. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  1110. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  1111. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  1112. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  1113. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  1114. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  1115. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  1116. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  1117. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  1118. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  1119. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  1120. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  1121. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  1122. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  1123. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  1124. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  1125. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  1126. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  1127. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  1128. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  1129. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  1130. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  1131. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  1132. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  1133. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  1134. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  1135. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  1136. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  1137. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  1138. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  1139. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  1140. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  1141. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  1142. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  1143. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  1144. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  1145. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  1146. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  1147. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  1148. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  1149. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  1150. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  1151. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  1152. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  1153. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  1154. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [0:-3] select out of bounds on signal `\mem_di_w': Setting 3 LSB bits to undef.
  1155. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [1:-2] select out of bounds on signal `\mem_di_w': Setting 2 LSB bits to undef.
  1156. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [2:-1] select out of bounds on signal `\mem_di_w': Setting 1 LSB bits to undef.
  1157. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [32:29] select out of bounds on signal `\mem_di_w': Setting 1 MSB bits to undef.
  1158. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [33:30] select out of bounds on signal `\mem_di_w': Setting 2 MSB bits to undef.
  1159. /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93: Warning: Range [34:31] select out of bounds on signal `\mem_di_w': Setting 3 MSB bits to undef.
  1160. Parameter \SERDES_GRP = 1667
  1161. 63.2.38. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1162. Parameter \SERDES_GRP = 1667
  1163. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000011'.
  1164. Parameter \SERDES_GRP = 1666
  1165. 63.2.39. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1166. Parameter \SERDES_GRP = 1666
  1167. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000010'.
  1168. Parameter \SERDES_GRP = 1665
  1169. 63.2.40. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1170. Parameter \SERDES_GRP = 1665
  1171. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000001'.
  1172. Parameter \SERDES_GRP = 1664
  1173. 63.2.41. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1174. Parameter \SERDES_GRP = 1664
  1175. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000000'.
  1176. Parameter \NEG = 1'0
  1177. Parameter \ENA = 1
  1178. Parameter \SERDES_GRP = 1171
  1179. 63.2.42. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1180. Parameter \NEG = 1'0
  1181. Parameter \ENA = 1
  1182. Parameter \SERDES_GRP = 1171
  1183. Generating RTLIL representation for module `$paramod$692a90536143b3fec671d73677449145eabc3620\ice40_serdes_dff'.
  1184. Parameter \NEG = 1'0
  1185. Parameter \ENA = 1
  1186. Parameter \SERDES_GRP = 1170
  1187. 63.2.43. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1188. Parameter \NEG = 1'0
  1189. Parameter \ENA = 1
  1190. Parameter \SERDES_GRP = 1170
  1191. Generating RTLIL representation for module `$paramod$e44b3f6b88bd9f13d1f900764c097b88a8073837\ice40_serdes_dff'.
  1192. Parameter \NEG = 1'0
  1193. Parameter \ENA = 1
  1194. Parameter \SERDES_GRP = 1169
  1195. 63.2.44. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1196. Parameter \NEG = 1'0
  1197. Parameter \ENA = 1
  1198. Parameter \SERDES_GRP = 1169
  1199. Generating RTLIL representation for module `$paramod$7f62c438601400b211e700d756641d52d2e1073c\ice40_serdes_dff'.
  1200. Parameter \NEG = 1'0
  1201. Parameter \ENA = 1
  1202. Parameter \SERDES_GRP = 1168
  1203. 63.2.45. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1204. Parameter \NEG = 1'0
  1205. Parameter \ENA = 1
  1206. Parameter \SERDES_GRP = 1168
  1207. Generating RTLIL representation for module `$paramod$b32caa8ce454d2e243a8fb7a97f312acff133bb3\ice40_serdes_dff'.
  1208. Parameter \NEG = 1'0
  1209. Parameter \SERDES_GRP = 1187
  1210. 63.2.46. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1211. Parameter \NEG = 1'0
  1212. Parameter \SERDES_GRP = 1187
  1213. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000000010010100011'.
  1214. Parameter \NEG = 1'0
  1215. Parameter \SERDES_GRP = 1186
  1216. 63.2.47. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1217. Parameter \NEG = 1'0
  1218. Parameter \SERDES_GRP = 1186
  1219. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000000010010100010'.
  1220. Parameter \NEG = 1'0
  1221. Parameter \SERDES_GRP = 1185
  1222. 63.2.48. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1223. Parameter \NEG = 1'0
  1224. Parameter \SERDES_GRP = 1185
  1225. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000000010010100001'.
  1226. Parameter \NEG = 1'0
  1227. Parameter \SERDES_GRP = 1184
  1228. 63.2.49. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1229. Parameter \NEG = 1'0
  1230. Parameter \SERDES_GRP = 1184
  1231. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000000010010100000'.
  1232. Reprocessing module ice40_iserdes because instantiated module ice40_serdes_dff has become available.
  1233. Generating RTLIL representation for module `\ice40_iserdes'.
  1234. Parameter \SERDES_GRP = 19
  1235. 63.2.50. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1236. Parameter \SERDES_GRP = 19
  1237. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000010011'.
  1238. Parameter \SERDES_GRP = 18
  1239. 63.2.51. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1240. Parameter \SERDES_GRP = 18
  1241. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000010010'.
  1242. Parameter \SERDES_GRP = 17
  1243. 63.2.52. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1244. Parameter \SERDES_GRP = 17
  1245. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000010001'.
  1246. Parameter \SERDES_GRP = 16
  1247. 63.2.53. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1248. Parameter \SERDES_GRP = 16
  1249. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000010000'.
  1250. Parameter \SERDES_GRP = 3
  1251. 63.2.54. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1252. Parameter \SERDES_GRP = 3
  1253. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000000011'.
  1254. Parameter \SERDES_GRP = 2
  1255. 63.2.55. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1256. Parameter \SERDES_GRP = 2
  1257. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000000010'.
  1258. Parameter \SERDES_GRP = 1
  1259. 63.2.56. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1260. Parameter \SERDES_GRP = 1
  1261. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000000001'.
  1262. Parameter \SERDES_GRP = 0
  1263. 63.2.57. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1264. Parameter \SERDES_GRP = 0
  1265. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000000000'.
  1266. Parameter \NEG = 0
  1267. Parameter \RST = 1
  1268. Parameter \BEL = 88'0101100000110001001100100010111101011001001100010011010100101111011011000110001100110000
  1269. 63.2.58. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1270. Parameter \NEG = 0
  1271. Parameter \RST = 1
  1272. Parameter \BEL = 88'0101100000110001001100100010111101011001001100010011010100101111011011000110001100110000
  1273. Generating RTLIL representation for module `$paramod$e582e01de39d2ccec0eda709a059c6502ef10ca2\ice40_serdes_dff'.
  1274. Parameter \NEG = 0
  1275. Parameter \RST = 1
  1276. Parameter \BEL = 88'0101100000110001001100100010111101011001001100010011010100101111011011000110001100110011
  1277. 63.2.59. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1278. Parameter \NEG = 0
  1279. Parameter \RST = 1
  1280. Parameter \BEL = 88'0101100000110001001100100010111101011001001100010011010100101111011011000110001100110011
  1281. Generating RTLIL representation for module `$paramod$07ca3d1f78a879dc39a837b8d9e903991da7bbdb\ice40_serdes_dff'.
  1282. Parameter \NEG = 0
  1283. Parameter \RST = 1
  1284. Parameter \BEL = 88'0101100000110001001100100010111101011001001100010011010100101111011011000110001100110001
  1285. 63.2.60. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1286. Parameter \NEG = 0
  1287. Parameter \RST = 1
  1288. Parameter \BEL = 88'0101100000110001001100100010111101011001001100010011010100101111011011000110001100110001
  1289. Generating RTLIL representation for module `$paramod$7e9a852169de2d5a3807011d4af0c0c979be79db\ice40_serdes_dff'.
  1290. Parameter \NEG = 0
  1291. Parameter \RST = 1
  1292. Parameter \BEL = 88'0101100000110001001100100010111101011001001100010011010100101111011011000110001100110010
  1293. 63.2.61. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1294. Parameter \NEG = 0
  1295. Parameter \RST = 1
  1296. Parameter \BEL = 88'0101100000110001001100100010111101011001001100010011010100101111011011000110001100110010
  1297. Generating RTLIL representation for module `$paramod$354937bc6e2407abb5374fb8b6b45a93b3cf4dcf\ice40_serdes_dff'.
  1298. Parameter \NEG = 0
  1299. Parameter \RST = 1
  1300. Parameter \BEL = 88'0101100000110001001100100010111101011001001100010011010100101111011011000110001100110100
  1301. 63.2.62. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1302. Parameter \NEG = 0
  1303. Parameter \RST = 1
  1304. Parameter \BEL = 88'0101100000110001001100100010111101011001001100010011010100101111011011000110001100110100
  1305. Generating RTLIL representation for module `$paramod$5553150595ce128e8881055d0643ebec9e06010a\ice40_serdes_dff'.
  1306. Parameter \NEG = 0
  1307. Parameter \RST = 1
  1308. Parameter \BEL = 88'0101100000110001001100100010111101011001001100010011010100101111011011000110001100110101
  1309. 63.2.63. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1310. Parameter \NEG = 0
  1311. Parameter \RST = 1
  1312. Parameter \BEL = 88'0101100000110001001100100010111101011001001100010011010100101111011011000110001100110101
  1313. Generating RTLIL representation for module `$paramod$b756c283dac417a3f66bb2faa83643ea2ae173f9\ice40_serdes_dff'.
  1314. Parameter \NEG = 0
  1315. Parameter \RST = 1
  1316. Parameter \BEL = 88'0101100000110001001100100010111101011001001100010011010100101111011011000110001100110110
  1317. 63.2.64. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1318. Parameter \NEG = 0
  1319. Parameter \RST = 1
  1320. Parameter \BEL = 88'0101100000110001001100100010111101011001001100010011010100101111011011000110001100110110
  1321. Generating RTLIL representation for module `$paramod$3a038e4ae1bbb4a9811d27dd9e1d6c2d2e5d256c\ice40_serdes_dff'.
  1322. Parameter \NEG = 0
  1323. Parameter \RST = 1
  1324. Parameter \BEL = 88'0101100000110001001100100010111101011001001100010011010100101111011011000110001100110111
  1325. 63.2.65. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1326. Parameter \NEG = 0
  1327. Parameter \RST = 1
  1328. Parameter \BEL = 88'0101100000110001001100100010111101011001001100010011010100101111011011000110001100110111
  1329. Generating RTLIL representation for module `$paramod$feb33513e5e8d86bcdd307ab9a0650ce90ec0260\ice40_serdes_dff'.
  1330. Parameter \READ_MODE = 0
  1331. Parameter \WRITE_MODE = 0
  1332. Parameter \MASK_WORKAROUND = 1
  1333. Parameter \NEG_WR_CLK = 1
  1334. 63.2.66. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_ebr'.
  1335. Parameter \READ_MODE = 0
  1336. Parameter \WRITE_MODE = 0
  1337. Parameter \MASK_WORKAROUND = 1
  1338. Parameter \NEG_WR_CLK = 1
  1339. Generating RTLIL representation for module `$paramod$7db067aaa473dab241a2e6bd8e04bce79caf2659\ice40_ebr'.
  1340. Parameter \AWIDTH = 8
  1341. Parameter \DWIDTH = 16
  1342. 63.2.67. Executing AST frontend in derive mode using pre-parsed AST for module `\ram_sdp'.
  1343. Parameter \AWIDTH = 8
  1344. Parameter \DWIDTH = 16
  1345. Generating RTLIL representation for module `$paramod$b719a54c035d67416e4798d4fba708942b43d3ac\ram_sdp'.
  1346. Parameter \WIDTH = 13
  1347. 63.2.68. Executing AST frontend in derive mode using pre-parsed AST for module `\dffer_n'.
  1348. Parameter \WIDTH = 13
  1349. Generating RTLIL representation for module `$paramod\dffer_n\WIDTH=s32'00000000000000000000000000001101'.
  1350. 63.2.69. Analyzing design hierarchy..
  1351. Top module: \top
  1352. Used module: \sysmgr
  1353. Used module: $paramod$ee292efbb55924cacfdc6c8744bdb7148f59d2f1\ice40_serdes_sync
  1354. Used module: \ice40_serdes_dff
  1355. Used module: $paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001
  1356. Used module: \ice40_rgb_wb
  1357. Used module: \uart_wb
  1358. Used module: $paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram
  1359. Used module: \ram_sdp
  1360. Used module: $paramod$7f9c9dc10c5023dd2cab0d7f15aed8a846ffdc0f\uart_rx
  1361. Used module: \glitch_filter
  1362. Used module: $paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001000
  1363. Used module: \vid_top
  1364. Used module: $paramod\hdmi_phy_1x\DW=s32'00000000000000000000000000001100
  1365. Used module: $paramod\delay_bit\DELAY=s32'00000000000000000000000000000100
  1366. Used module: $paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen
  1367. Used module: \dffer_n
  1368. Used module: \vid_palette
  1369. Used module: \vid_framebuf
  1370. Used module: \qpi_phy_ice40_4x
  1371. Used module: $paramod$ee5fa83b1ca770f4f834672f5d772cff0c2d7889\ice40_oserdes
  1372. Used module: $paramod$0e9701ec0ff3618e82c6b3c7773f21bce1c1aa12\ice40_iserdes
  1373. Used module: $paramod$863b677bc445782f1534bda513d3ab7f02676b5c\ice40_oserdes
  1374. Used module: $paramod$75c621542c1e9767613d02b1f3511b93ee44cfa1\ice40_oserdes
  1375. Used module: $paramod$67159177885044a3572460fa032eb51bd80cf94f\ice40_iserdes
  1376. Used module: $paramod$fe37dab75e68305ee07af1db6f0f96490b9fdc39\ice40_oserdes
  1377. Used module: $paramod$a13561ec4a4d594cc35622ccd91582314db7bb43\ice40_oserdes
  1378. Used module: $paramod$14e5d95e036b4742363dfea0c94e50791839025a\ice40_iserdes
  1379. Used module: $paramod$5c6db5a604a4655a57dfd9340bd964139a251510\ice40_oserdes
  1380. Used module: $paramod$087675b5e9c65e52d59a156f0ccae4f673504c8c\ice40_oserdes
  1381. Used module: $paramod$c937df4c258ba3feed83404fb87e6cc4fb9fbffd\ice40_iserdes
  1382. Used module: $paramod$917d62ab073ab2caba68f39824d52335f3c1a5e3\ice40_oserdes
  1383. Used module: $paramod$9a9c4528a326fac997fd1fc463b8f4223e2e4501\ice40_oserdes
  1384. Used module: \qpi_memctrl
  1385. Used module: $paramod$dafc3a7f4a5bcc8b3adb4c007b6f574c47147813\fifo_sync_shift
  1386. Used module: $paramod$8b22e2c4b4d1e8b8ca3524a85d03e9beac836863\fifo_sync_shift
  1387. Used module: $paramod$207d30fa21ecab167490f434b739f4dde492aa96\delay_bus
  1388. Used module: $paramod\delay_bit\DELAY=s32'00000000000000000000000000000110
  1389. Used module: \mc_core
  1390. Used module: \mc_tag_match
  1391. Used module: \mc_tag_ram
  1392. Used module: $paramod$7db067aaa473dab241a2e6bd8e04bce79caf2659\ice40_ebr
  1393. Used module: \ice40_spram_gen
  1394. Used module: \soc_bram
  1395. Used module: \mc_bus_vex
  1396. Used module: \VexRiscv
  1397. Used module: \InstructionCache
  1398. Parameter \CURRENT_MODE = 24'001100000110001000110001
  1399. Parameter \RGB0_CURRENT = 64'0011000001100010001100000011000000110000001100000011000000110001
  1400. Parameter \RGB1_CURRENT = 64'0011000001100010001100000011000000110000001100000011000000110001
  1401. Parameter \RGB2_CURRENT = 64'0011000001100010001100000011000000110000001100000011000000110001
  1402. Found cached RTLIL representation for module `$paramod$cfcaad11b8c62f8a1e1624a27a246465a59e45e7\ice40_rgb_wb'.
  1403. Parameter \DIV_WIDTH = 12
  1404. Parameter \DW = 32
  1405. Found cached RTLIL representation for module `$paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb'.
  1406. Parameter \N_CS = 2
  1407. Parameter \WITH_CLK = 1
  1408. Found cached RTLIL representation for module `$paramod$9a9e2282df54acafd6229f692355382480e5c2ad\qpi_phy_ice40_4x'.
  1409. Parameter \CMD_READ = 16'1110101100001011
  1410. Parameter \CMD_WRITE = 16'0000001000000010
  1411. Parameter \DUMMY_CLK = 6
  1412. Parameter \PAUSE_CLK = 8
  1413. Parameter \FIFO_DEPTH = 1
  1414. Parameter \N_CS = 2
  1415. Parameter \PHY_SPEED = 4
  1416. Parameter \PHY_WIDTH = 1
  1417. Parameter \PHY_DELAY = 4
  1418. Found cached RTLIL representation for module `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl'.
  1419. Parameter \N_WAYS = 4
  1420. Parameter \ADDR_WIDTH = 24
  1421. Parameter \CACHE_LINE = 32
  1422. Parameter \CACHE_SIZE = 64
  1423. Found cached RTLIL representation for module `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core'.
  1424. Parameter \AW = 8
  1425. Parameter \INIT_FILE = 64'0110001001101111011011110111010000101110011010000110010101111000
  1426. Found cached RTLIL representation for module `$paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram'.
  1427. Parameter \WB_N = 4
  1428. Found cached RTLIL representation for module `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100'.
  1429. Parameter \TAG_WIDTH = 12
  1430. Found cached RTLIL representation for module `$paramod\mc_tag_match\TAG_WIDTH=s32'00000000000000000000000000001100'.
  1431. Parameter \TAG_WIDTH = 12
  1432. Found cached RTLIL representation for module `$paramod\mc_tag_match\TAG_WIDTH=s32'00000000000000000000000000001100'.
  1433. Parameter \TAG_WIDTH = 12
  1434. Found cached RTLIL representation for module `$paramod\mc_tag_match\TAG_WIDTH=s32'00000000000000000000000000001100'.
  1435. Parameter \TAG_WIDTH = 12
  1436. Found cached RTLIL representation for module `$paramod\mc_tag_match\TAG_WIDTH=s32'00000000000000000000000000001100'.
  1437. Parameter \IDX_WIDTH = 8
  1438. Parameter \TAG_WIDTH = 12
  1439. Parameter \AGE_WIDTH = 2
  1440. Found cached RTLIL representation for module `$paramod$f397632cf779d01999ffbcfc67eeb9a2da1f7b6b\mc_tag_ram'.
  1441. Parameter \IDX_WIDTH = 8
  1442. Parameter \TAG_WIDTH = 12
  1443. Parameter \AGE_WIDTH = 2
  1444. Found cached RTLIL representation for module `$paramod$f397632cf779d01999ffbcfc67eeb9a2da1f7b6b\mc_tag_ram'.
  1445. Parameter \IDX_WIDTH = 8
  1446. Parameter \TAG_WIDTH = 12
  1447. Parameter \AGE_WIDTH = 2
  1448. Found cached RTLIL representation for module `$paramod$f397632cf779d01999ffbcfc67eeb9a2da1f7b6b\mc_tag_ram'.
  1449. Parameter \IDX_WIDTH = 8
  1450. Parameter \TAG_WIDTH = 12
  1451. Parameter \AGE_WIDTH = 2
  1452. Found cached RTLIL representation for module `$paramod$f397632cf779d01999ffbcfc67eeb9a2da1f7b6b\mc_tag_ram'.
  1453. Parameter \ADDR_WIDTH = 14
  1454. Parameter \DATA_WIDTH = 32
  1455. Found cached RTLIL representation for module `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen'.
  1456. Parameter \SERDES_GRP = 16416
  1457. 63.2.70. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1458. Parameter \SERDES_GRP = 16416
  1459. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000100000'.
  1460. Parameter \SERDES_GRP = 16403
  1461. 63.2.71. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1462. Parameter \SERDES_GRP = 16403
  1463. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000010011'.
  1464. Parameter \SERDES_GRP = 16402
  1465. 63.2.72. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1466. Parameter \SERDES_GRP = 16402
  1467. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000010010'.
  1468. Parameter \SERDES_GRP = 16401
  1469. 63.2.73. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1470. Parameter \SERDES_GRP = 16401
  1471. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000010001'.
  1472. Parameter \SERDES_GRP = 16400
  1473. 63.2.74. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1474. Parameter \SERDES_GRP = 16400
  1475. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000010000'.
  1476. Parameter \SERDES_GRP = 16387
  1477. 63.2.75. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1478. Parameter \SERDES_GRP = 16387
  1479. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000000011'.
  1480. Parameter \SERDES_GRP = 16386
  1481. 63.2.76. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1482. Parameter \SERDES_GRP = 16386
  1483. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000000010'.
  1484. Parameter \SERDES_GRP = 16385
  1485. 63.2.77. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1486. Parameter \SERDES_GRP = 16385
  1487. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000000001'.
  1488. Parameter \SERDES_GRP = 16384
  1489. 63.2.78. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1490. Parameter \SERDES_GRP = 16384
  1491. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000000000'.
  1492. Parameter \SERDES_GRP = 13956
  1493. 63.2.79. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1494. Parameter \SERDES_GRP = 13956
  1495. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011011010000100'.
  1496. Parameter \SERDES_GRP = 13955
  1497. 63.2.80. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1498. Parameter \SERDES_GRP = 13955
  1499. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011011010000011'.
  1500. Parameter \SERDES_GRP = 13954
  1501. 63.2.81. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1502. Parameter \SERDES_GRP = 13954
  1503. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011011010000010'.
  1504. Parameter \SERDES_GRP = 13953
  1505. 63.2.82. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1506. Parameter \SERDES_GRP = 13953
  1507. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011011010000001'.
  1508. Parameter \SERDES_GRP = 13952
  1509. 63.2.83. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1510. Parameter \SERDES_GRP = 13952
  1511. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011011010000000'.
  1512. Parameter \NEG = 1'0
  1513. Parameter \ENA = 1
  1514. Parameter \SERDES_GRP = 13459
  1515. 63.2.84. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1516. Parameter \NEG = 1'0
  1517. Parameter \ENA = 1
  1518. Parameter \SERDES_GRP = 13459
  1519. Generating RTLIL representation for module `$paramod$4d8f22cf4ec64d0fb9f63f1c98686217b3799a7d\ice40_serdes_dff'.
  1520. Parameter \NEG = 1'0
  1521. Parameter \ENA = 1
  1522. Parameter \SERDES_GRP = 13458
  1523. 63.2.85. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1524. Parameter \NEG = 1'0
  1525. Parameter \ENA = 1
  1526. Parameter \SERDES_GRP = 13458
  1527. Generating RTLIL representation for module `$paramod$e7ef2081568887628eb303394d34c9d8476d8a88\ice40_serdes_dff'.
  1528. Parameter \NEG = 1'0
  1529. Parameter \ENA = 1
  1530. Parameter \SERDES_GRP = 13457
  1531. 63.2.86. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1532. Parameter \NEG = 1'0
  1533. Parameter \ENA = 1
  1534. Parameter \SERDES_GRP = 13457
  1535. Generating RTLIL representation for module `$paramod$2797d931cd0954c5520f5806e7f1ebd82a03ad28\ice40_serdes_dff'.
  1536. Parameter \NEG = 1'0
  1537. Parameter \ENA = 1
  1538. Parameter \SERDES_GRP = 13456
  1539. 63.2.87. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1540. Parameter \NEG = 1'0
  1541. Parameter \ENA = 1
  1542. Parameter \SERDES_GRP = 13456
  1543. Generating RTLIL representation for module `$paramod$9424c184a55595b25ce0f57bb97552df113fdbb7\ice40_serdes_dff'.
  1544. Parameter \NEG = 1'0
  1545. Parameter \SERDES_GRP = 13475
  1546. 63.2.88. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1547. Parameter \NEG = 1'0
  1548. Parameter \SERDES_GRP = 13475
  1549. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000011010010100011'.
  1550. Parameter \NEG = 1'0
  1551. Parameter \SERDES_GRP = 13474
  1552. 63.2.89. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1553. Parameter \NEG = 1'0
  1554. Parameter \SERDES_GRP = 13474
  1555. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000011010010100010'.
  1556. Parameter \NEG = 1'0
  1557. Parameter \SERDES_GRP = 13473
  1558. 63.2.90. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1559. Parameter \NEG = 1'0
  1560. Parameter \SERDES_GRP = 13473
  1561. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000011010010100001'.
  1562. Parameter \NEG = 1'0
  1563. Parameter \SERDES_GRP = 13472
  1564. 63.2.91. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1565. Parameter \NEG = 1'0
  1566. Parameter \SERDES_GRP = 13472
  1567. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000011010010100000'.
  1568. Reprocessing module $paramod$0e9701ec0ff3618e82c6b3c7773f21bce1c1aa12\ice40_iserdes because instantiated module $paramod$4d8f22cf4ec64d0fb9f63f1c98686217b3799a7d\ice40_serdes_dff has become available.
  1569. Generating RTLIL representation for module `$paramod$0e9701ec0ff3618e82c6b3c7773f21bce1c1aa12\ice40_iserdes'.
  1570. Parameter \SERDES_GRP = 12307
  1571. 63.2.92. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1572. Parameter \SERDES_GRP = 12307
  1573. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000010011'.
  1574. Parameter \SERDES_GRP = 12306
  1575. 63.2.93. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1576. Parameter \SERDES_GRP = 12306
  1577. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000010010'.
  1578. Parameter \SERDES_GRP = 12305
  1579. 63.2.94. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1580. Parameter \SERDES_GRP = 12305
  1581. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000010001'.
  1582. Parameter \SERDES_GRP = 12304
  1583. 63.2.95. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1584. Parameter \SERDES_GRP = 12304
  1585. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000010000'.
  1586. Parameter \SERDES_GRP = 12291
  1587. 63.2.96. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1588. Parameter \SERDES_GRP = 12291
  1589. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000000011'.
  1590. Parameter \SERDES_GRP = 12290
  1591. 63.2.97. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1592. Parameter \SERDES_GRP = 12290
  1593. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000000010'.
  1594. Parameter \SERDES_GRP = 12289
  1595. 63.2.98. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1596. Parameter \SERDES_GRP = 12289
  1597. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000000001'.
  1598. Parameter \SERDES_GRP = 12288
  1599. 63.2.99. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1600. Parameter \SERDES_GRP = 12288
  1601. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000000000'.
  1602. Parameter \SERDES_GRP = 12819
  1603. 63.2.100. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1604. Parameter \SERDES_GRP = 12819
  1605. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000010011'.
  1606. Parameter \SERDES_GRP = 12818
  1607. 63.2.101. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1608. Parameter \SERDES_GRP = 12818
  1609. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000010010'.
  1610. Parameter \SERDES_GRP = 12817
  1611. 63.2.102. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1612. Parameter \SERDES_GRP = 12817
  1613. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000010001'.
  1614. Parameter \SERDES_GRP = 12816
  1615. 63.2.103. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1616. Parameter \SERDES_GRP = 12816
  1617. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000010000'.
  1618. Parameter \SERDES_GRP = 12803
  1619. 63.2.104. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1620. Parameter \SERDES_GRP = 12803
  1621. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000000011'.
  1622. Parameter \SERDES_GRP = 12802
  1623. 63.2.105. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1624. Parameter \SERDES_GRP = 12802
  1625. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000000010'.
  1626. Parameter \SERDES_GRP = 12801
  1627. 63.2.106. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1628. Parameter \SERDES_GRP = 12801
  1629. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000000001'.
  1630. Parameter \SERDES_GRP = 12800
  1631. 63.2.107. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1632. Parameter \SERDES_GRP = 12800
  1633. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000000000'.
  1634. Parameter \SERDES_GRP = 9860
  1635. 63.2.108. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1636. Parameter \SERDES_GRP = 9860
  1637. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010011010000100'.
  1638. Parameter \SERDES_GRP = 9859
  1639. 63.2.109. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1640. Parameter \SERDES_GRP = 9859
  1641. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010011010000011'.
  1642. Parameter \SERDES_GRP = 9858
  1643. 63.2.110. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1644. Parameter \SERDES_GRP = 9858
  1645. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010011010000010'.
  1646. Parameter \SERDES_GRP = 9857
  1647. 63.2.111. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1648. Parameter \SERDES_GRP = 9857
  1649. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010011010000001'.
  1650. Parameter \SERDES_GRP = 9856
  1651. 63.2.112. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1652. Parameter \SERDES_GRP = 9856
  1653. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010011010000000'.
  1654. Parameter \NEG = 1'0
  1655. Parameter \ENA = 1
  1656. Parameter \SERDES_GRP = 9363
  1657. 63.2.113. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1658. Parameter \NEG = 1'0
  1659. Parameter \ENA = 1
  1660. Parameter \SERDES_GRP = 9363
  1661. Generating RTLIL representation for module `$paramod$8364285540c9e5de4006551b06ee5b25c31d3ac4\ice40_serdes_dff'.
  1662. Parameter \NEG = 1'0
  1663. Parameter \ENA = 1
  1664. Parameter \SERDES_GRP = 9362
  1665. 63.2.114. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1666. Parameter \NEG = 1'0
  1667. Parameter \ENA = 1
  1668. Parameter \SERDES_GRP = 9362
  1669. Generating RTLIL representation for module `$paramod$3b1dc861a4d0285a9c0e28e86e84bf3f3bf78af8\ice40_serdes_dff'.
  1670. Parameter \NEG = 1'0
  1671. Parameter \ENA = 1
  1672. Parameter \SERDES_GRP = 9361
  1673. 63.2.115. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1674. Parameter \NEG = 1'0
  1675. Parameter \ENA = 1
  1676. Parameter \SERDES_GRP = 9361
  1677. Generating RTLIL representation for module `$paramod$c937d5e4376f8f2580c5fe8fd2426ef1292329e8\ice40_serdes_dff'.
  1678. Parameter \NEG = 1'0
  1679. Parameter \ENA = 1
  1680. Parameter \SERDES_GRP = 9360
  1681. 63.2.116. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1682. Parameter \NEG = 1'0
  1683. Parameter \ENA = 1
  1684. Parameter \SERDES_GRP = 9360
  1685. Generating RTLIL representation for module `$paramod$4f4cafaa8e148481ffc96bfe5f39236edef69b27\ice40_serdes_dff'.
  1686. Parameter \NEG = 1'0
  1687. Parameter \SERDES_GRP = 9379
  1688. 63.2.117. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1689. Parameter \NEG = 1'0
  1690. Parameter \SERDES_GRP = 9379
  1691. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000010010010100011'.
  1692. Parameter \NEG = 1'0
  1693. Parameter \SERDES_GRP = 9378
  1694. 63.2.118. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1695. Parameter \NEG = 1'0
  1696. Parameter \SERDES_GRP = 9378
  1697. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000010010010100010'.
  1698. Parameter \NEG = 1'0
  1699. Parameter \SERDES_GRP = 9377
  1700. 63.2.119. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1701. Parameter \NEG = 1'0
  1702. Parameter \SERDES_GRP = 9377
  1703. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000010010010100001'.
  1704. Parameter \NEG = 1'0
  1705. Parameter \SERDES_GRP = 9376
  1706. 63.2.120. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1707. Parameter \NEG = 1'0
  1708. Parameter \SERDES_GRP = 9376
  1709. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000010010010100000'.
  1710. Reprocessing module $paramod$67159177885044a3572460fa032eb51bd80cf94f\ice40_iserdes because instantiated module $paramod$8364285540c9e5de4006551b06ee5b25c31d3ac4\ice40_serdes_dff has become available.
  1711. Generating RTLIL representation for module `$paramod$67159177885044a3572460fa032eb51bd80cf94f\ice40_iserdes'.
  1712. Parameter \SERDES_GRP = 8211
  1713. 63.2.121. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1714. Parameter \SERDES_GRP = 8211
  1715. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000010011'.
  1716. Parameter \SERDES_GRP = 8210
  1717. 63.2.122. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1718. Parameter \SERDES_GRP = 8210
  1719. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000010010'.
  1720. Parameter \SERDES_GRP = 8209
  1721. 63.2.123. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1722. Parameter \SERDES_GRP = 8209
  1723. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000010001'.
  1724. Parameter \SERDES_GRP = 8208
  1725. 63.2.124. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1726. Parameter \SERDES_GRP = 8208
  1727. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000010000'.
  1728. Parameter \SERDES_GRP = 8195
  1729. 63.2.125. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1730. Parameter \SERDES_GRP = 8195
  1731. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000000011'.
  1732. Parameter \SERDES_GRP = 8194
  1733. 63.2.126. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1734. Parameter \SERDES_GRP = 8194
  1735. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000000010'.
  1736. Parameter \SERDES_GRP = 8193
  1737. 63.2.127. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1738. Parameter \SERDES_GRP = 8193
  1739. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000000001'.
  1740. Parameter \SERDES_GRP = 8192
  1741. 63.2.128. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1742. Parameter \SERDES_GRP = 8192
  1743. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000000000'.
  1744. Parameter \SERDES_GRP = 8723
  1745. 63.2.129. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1746. Parameter \SERDES_GRP = 8723
  1747. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000010011'.
  1748. Parameter \SERDES_GRP = 8722
  1749. 63.2.130. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1750. Parameter \SERDES_GRP = 8722
  1751. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000010010'.
  1752. Parameter \SERDES_GRP = 8721
  1753. 63.2.131. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1754. Parameter \SERDES_GRP = 8721
  1755. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000010001'.
  1756. Parameter \SERDES_GRP = 8720
  1757. 63.2.132. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1758. Parameter \SERDES_GRP = 8720
  1759. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000010000'.
  1760. Parameter \SERDES_GRP = 8707
  1761. 63.2.133. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1762. Parameter \SERDES_GRP = 8707
  1763. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000000011'.
  1764. Parameter \SERDES_GRP = 8706
  1765. 63.2.134. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1766. Parameter \SERDES_GRP = 8706
  1767. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000000010'.
  1768. Parameter \SERDES_GRP = 8705
  1769. 63.2.135. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1770. Parameter \SERDES_GRP = 8705
  1771. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000000001'.
  1772. Parameter \SERDES_GRP = 8704
  1773. 63.2.136. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1774. Parameter \SERDES_GRP = 8704
  1775. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000000000'.
  1776. Parameter \SERDES_GRP = 5764
  1777. 63.2.137. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1778. Parameter \SERDES_GRP = 5764
  1779. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001011010000100'.
  1780. Parameter \SERDES_GRP = 5763
  1781. 63.2.138. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1782. Parameter \SERDES_GRP = 5763
  1783. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001011010000011'.
  1784. Parameter \SERDES_GRP = 5762
  1785. 63.2.139. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1786. Parameter \SERDES_GRP = 5762
  1787. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001011010000010'.
  1788. Parameter \SERDES_GRP = 5761
  1789. 63.2.140. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1790. Parameter \SERDES_GRP = 5761
  1791. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001011010000001'.
  1792. Parameter \SERDES_GRP = 5760
  1793. 63.2.141. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1794. Parameter \SERDES_GRP = 5760
  1795. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001011010000000'.
  1796. Parameter \NEG = 1'0
  1797. Parameter \ENA = 1
  1798. Parameter \SERDES_GRP = 5267
  1799. 63.2.142. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1800. Parameter \NEG = 1'0
  1801. Parameter \ENA = 1
  1802. Parameter \SERDES_GRP = 5267
  1803. Generating RTLIL representation for module `$paramod$585d871e3f028093b352aaf4a6a5ed67b111fc87\ice40_serdes_dff'.
  1804. Parameter \NEG = 1'0
  1805. Parameter \ENA = 1
  1806. Parameter \SERDES_GRP = 5266
  1807. 63.2.143. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1808. Parameter \NEG = 1'0
  1809. Parameter \ENA = 1
  1810. Parameter \SERDES_GRP = 5266
  1811. Generating RTLIL representation for module `$paramod$cc9a6e6bf39368ff82a94c2c06971bb1433935c4\ice40_serdes_dff'.
  1812. Parameter \NEG = 1'0
  1813. Parameter \ENA = 1
  1814. Parameter \SERDES_GRP = 5265
  1815. 63.2.144. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1816. Parameter \NEG = 1'0
  1817. Parameter \ENA = 1
  1818. Parameter \SERDES_GRP = 5265
  1819. Generating RTLIL representation for module `$paramod$609ef96eefd5f217e59829af7272b2c75ca4270a\ice40_serdes_dff'.
  1820. Parameter \NEG = 1'0
  1821. Parameter \ENA = 1
  1822. Parameter \SERDES_GRP = 5264
  1823. 63.2.145. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1824. Parameter \NEG = 1'0
  1825. Parameter \ENA = 1
  1826. Parameter \SERDES_GRP = 5264
  1827. Generating RTLIL representation for module `$paramod$287ed5c83870694bd6af0f98e8abdcf9f10a3b0d\ice40_serdes_dff'.
  1828. Parameter \NEG = 1'0
  1829. Parameter \SERDES_GRP = 5283
  1830. 63.2.146. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1831. Parameter \NEG = 1'0
  1832. Parameter \SERDES_GRP = 5283
  1833. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000001010010100011'.
  1834. Parameter \NEG = 1'0
  1835. Parameter \SERDES_GRP = 5282
  1836. 63.2.147. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1837. Parameter \NEG = 1'0
  1838. Parameter \SERDES_GRP = 5282
  1839. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000001010010100010'.
  1840. Parameter \NEG = 1'0
  1841. Parameter \SERDES_GRP = 5281
  1842. 63.2.148. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1843. Parameter \NEG = 1'0
  1844. Parameter \SERDES_GRP = 5281
  1845. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000001010010100001'.
  1846. Parameter \NEG = 1'0
  1847. Parameter \SERDES_GRP = 5280
  1848. 63.2.149. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1849. Parameter \NEG = 1'0
  1850. Parameter \SERDES_GRP = 5280
  1851. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000001010010100000'.
  1852. Reprocessing module $paramod$14e5d95e036b4742363dfea0c94e50791839025a\ice40_iserdes because instantiated module $paramod$585d871e3f028093b352aaf4a6a5ed67b111fc87\ice40_serdes_dff has become available.
  1853. Generating RTLIL representation for module `$paramod$14e5d95e036b4742363dfea0c94e50791839025a\ice40_iserdes'.
  1854. Parameter \SERDES_GRP = 4115
  1855. 63.2.150. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1856. Parameter \SERDES_GRP = 4115
  1857. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000010011'.
  1858. Parameter \SERDES_GRP = 4114
  1859. 63.2.151. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1860. Parameter \SERDES_GRP = 4114
  1861. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000010010'.
  1862. Parameter \SERDES_GRP = 4113
  1863. 63.2.152. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1864. Parameter \SERDES_GRP = 4113
  1865. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000010001'.
  1866. Parameter \SERDES_GRP = 4112
  1867. 63.2.153. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1868. Parameter \SERDES_GRP = 4112
  1869. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000010000'.
  1870. Parameter \SERDES_GRP = 4099
  1871. 63.2.154. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1872. Parameter \SERDES_GRP = 4099
  1873. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000000011'.
  1874. Parameter \SERDES_GRP = 4098
  1875. 63.2.155. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1876. Parameter \SERDES_GRP = 4098
  1877. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000000010'.
  1878. Parameter \SERDES_GRP = 4097
  1879. 63.2.156. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1880. Parameter \SERDES_GRP = 4097
  1881. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000000001'.
  1882. Parameter \SERDES_GRP = 4096
  1883. 63.2.157. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1884. Parameter \SERDES_GRP = 4096
  1885. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000000000'.
  1886. Parameter \SERDES_GRP = 4627
  1887. 63.2.158. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1888. Parameter \SERDES_GRP = 4627
  1889. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000010011'.
  1890. Parameter \SERDES_GRP = 4626
  1891. 63.2.159. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1892. Parameter \SERDES_GRP = 4626
  1893. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000010010'.
  1894. Parameter \SERDES_GRP = 4625
  1895. 63.2.160. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1896. Parameter \SERDES_GRP = 4625
  1897. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000010001'.
  1898. Parameter \SERDES_GRP = 4624
  1899. 63.2.161. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1900. Parameter \SERDES_GRP = 4624
  1901. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000010000'.
  1902. Parameter \SERDES_GRP = 4611
  1903. 63.2.162. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1904. Parameter \SERDES_GRP = 4611
  1905. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000000011'.
  1906. Parameter \SERDES_GRP = 4610
  1907. 63.2.163. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1908. Parameter \SERDES_GRP = 4610
  1909. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000000010'.
  1910. Parameter \SERDES_GRP = 4609
  1911. 63.2.164. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1912. Parameter \SERDES_GRP = 4609
  1913. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000000001'.
  1914. Parameter \SERDES_GRP = 4608
  1915. 63.2.165. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1916. Parameter \SERDES_GRP = 4608
  1917. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000000000'.
  1918. Parameter \SERDES_GRP = 1668
  1919. 63.2.166. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1920. Parameter \SERDES_GRP = 1668
  1921. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000100'.
  1922. Parameter \SERDES_GRP = 1667
  1923. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000011'.
  1924. Parameter \SERDES_GRP = 1666
  1925. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000010'.
  1926. Parameter \SERDES_GRP = 1665
  1927. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000001'.
  1928. Parameter \SERDES_GRP = 1664
  1929. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000000'.
  1930. Parameter \NEG = 1'0
  1931. Parameter \ENA = 1
  1932. Parameter \SERDES_GRP = 1171
  1933. Found cached RTLIL representation for module `$paramod$692a90536143b3fec671d73677449145eabc3620\ice40_serdes_dff'.
  1934. Parameter \NEG = 1'0
  1935. Parameter \ENA = 1
  1936. Parameter \SERDES_GRP = 1170
  1937. Found cached RTLIL representation for module `$paramod$e44b3f6b88bd9f13d1f900764c097b88a8073837\ice40_serdes_dff'.
  1938. Parameter \NEG = 1'0
  1939. Parameter \ENA = 1
  1940. Parameter \SERDES_GRP = 1169
  1941. Found cached RTLIL representation for module `$paramod$7f62c438601400b211e700d756641d52d2e1073c\ice40_serdes_dff'.
  1942. Parameter \NEG = 1'0
  1943. Parameter \ENA = 1
  1944. Parameter \SERDES_GRP = 1168
  1945. Found cached RTLIL representation for module `$paramod$b32caa8ce454d2e243a8fb7a97f312acff133bb3\ice40_serdes_dff'.
  1946. Parameter \NEG = 1'0
  1947. Parameter \SERDES_GRP = 1187
  1948. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000000010010100011'.
  1949. Parameter \NEG = 1'0
  1950. Parameter \SERDES_GRP = 1186
  1951. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000000010010100010'.
  1952. Parameter \NEG = 1'0
  1953. Parameter \SERDES_GRP = 1185
  1954. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000000010010100001'.
  1955. Parameter \NEG = 1'0
  1956. Parameter \SERDES_GRP = 1184
  1957. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000000010010100000'.
  1958. Reprocessing module $paramod$c937df4c258ba3feed83404fb87e6cc4fb9fbffd\ice40_iserdes because instantiated module $paramod$692a90536143b3fec671d73677449145eabc3620\ice40_serdes_dff has become available.
  1959. Generating RTLIL representation for module `$paramod$c937df4c258ba3feed83404fb87e6cc4fb9fbffd\ice40_iserdes'.
  1960. Parameter \SERDES_GRP = 19
  1961. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000010011'.
  1962. Parameter \SERDES_GRP = 18
  1963. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000010010'.
  1964. Parameter \SERDES_GRP = 17
  1965. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000010001'.
  1966. Parameter \SERDES_GRP = 16
  1967. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000010000'.
  1968. Parameter \SERDES_GRP = 3
  1969. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000000011'.
  1970. Parameter \SERDES_GRP = 2
  1971. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000000010'.
  1972. Parameter \SERDES_GRP = 1
  1973. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000000001'.
  1974. Parameter \SERDES_GRP = 0
  1975. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000000000'.
  1976. Parameter \SERDES_GRP = 531
  1977. 63.2.167. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1978. Parameter \SERDES_GRP = 531
  1979. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000010011'.
  1980. Parameter \SERDES_GRP = 530
  1981. 63.2.168. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1982. Parameter \SERDES_GRP = 530
  1983. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000010010'.
  1984. Parameter \SERDES_GRP = 529
  1985. 63.2.169. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1986. Parameter \SERDES_GRP = 529
  1987. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000010001'.
  1988. Parameter \SERDES_GRP = 528
  1989. 63.2.170. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1990. Parameter \SERDES_GRP = 528
  1991. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000010000'.
  1992. Parameter \SERDES_GRP = 515
  1993. 63.2.171. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1994. Parameter \SERDES_GRP = 515
  1995. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000000011'.
  1996. Parameter \SERDES_GRP = 514
  1997. 63.2.172. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  1998. Parameter \SERDES_GRP = 514
  1999. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000000010'.
  2000. Parameter \SERDES_GRP = 513
  2001. 63.2.173. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  2002. Parameter \SERDES_GRP = 513
  2003. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000000001'.
  2004. Parameter \SERDES_GRP = 512
  2005. 63.2.174. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  2006. Parameter \SERDES_GRP = 512
  2007. Generating RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000000000'.
  2008. Parameter \WIDTH = 10
  2009. 63.2.175. Executing AST frontend in derive mode using pre-parsed AST for module `\dffer_n'.
  2010. Parameter \WIDTH = 10
  2011. Generating RTLIL representation for module `$paramod\dffer_n\WIDTH=s32'00000000000000000000000000001010'.
  2012. Parameter \AWIDTH = 9
  2013. Parameter \DWIDTH = 8
  2014. 63.2.176. Executing AST frontend in derive mode using pre-parsed AST for module `\ram_sdp'.
  2015. Parameter \AWIDTH = 9
  2016. Parameter \DWIDTH = 8
  2017. Generating RTLIL representation for module `$paramod$3ebcb2129805027011086c045e6bb4ce235a9e88\ram_sdp'.
  2018. Parameter \L = 2
  2019. Parameter \RST_VAL = 1'1
  2020. Parameter \WITH_SYNCHRONIZER = 1
  2021. Found cached RTLIL representation for module `$paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter'.
  2022. Parameter \NEG = 0
  2023. Parameter \RST = 1
  2024. Parameter \BEL = 88'0000000001011000001100100011000000101111010110010011010000101111011011000110001100110000
  2025. 63.2.177. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  2026. Parameter \NEG = 0
  2027. Parameter \RST = 1
  2028. Parameter \BEL = 88'0000000001011000001100100011000000101111010110010011010000101111011011000110001100110000
  2029. Generating RTLIL representation for module `$paramod$681b594bc9ea94cf8d9a4c2606247a2c915777f4\ice40_serdes_dff'.
  2030. Parameter \NEG = 0
  2031. Parameter \RST = 1
  2032. Parameter \BEL = 88'0000000001011000001100100011000000101111010110010011010000101111011011000110001100110011
  2033. 63.2.178. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  2034. Parameter \NEG = 0
  2035. Parameter \RST = 1
  2036. Parameter \BEL = 88'0000000001011000001100100011000000101111010110010011010000101111011011000110001100110011
  2037. Generating RTLIL representation for module `$paramod$30ee323e18e10972cd7003a5025831d5a704d820\ice40_serdes_dff'.
  2038. Parameter \NEG = 0
  2039. Parameter \RST = 1
  2040. Parameter \BEL = 88'0000000001011000001100100011000000101111010110010011010000101111011011000110001100110001
  2041. 63.2.179. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  2042. Parameter \NEG = 0
  2043. Parameter \RST = 1
  2044. Parameter \BEL = 88'0000000001011000001100100011000000101111010110010011010000101111011011000110001100110001
  2045. Generating RTLIL representation for module `$paramod$3ad1583e0481d446cb74cb52afebc0dc3fce6746\ice40_serdes_dff'.
  2046. Parameter \NEG = 0
  2047. Parameter \RST = 1
  2048. Parameter \BEL = 88'0000000001011000001100100011000000101111010110010011010000101111011011000110001100110010
  2049. 63.2.180. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  2050. Parameter \NEG = 0
  2051. Parameter \RST = 1
  2052. Parameter \BEL = 88'0000000001011000001100100011000000101111010110010011010000101111011011000110001100110010
  2053. Generating RTLIL representation for module `$paramod$52fdd5761ec779f8f28128b640a08a8cc595d827\ice40_serdes_dff'.
  2054. Parameter \NEG = 0
  2055. Parameter \RST = 1
  2056. Parameter \BEL = 88'0000000001011000001100100011000000101111010110010011010000101111011011000110001100110100
  2057. 63.2.181. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  2058. Parameter \NEG = 0
  2059. Parameter \RST = 1
  2060. Parameter \BEL = 88'0000000001011000001100100011000000101111010110010011010000101111011011000110001100110100
  2061. Generating RTLIL representation for module `$paramod$d1350f99652fccfa0eca6f20eb458128402cb851\ice40_serdes_dff'.
  2062. Parameter \NEG = 0
  2063. Parameter \RST = 1
  2064. Parameter \BEL = 88'0000000001011000001100100011000000101111010110010011010000101111011011000110001100110101
  2065. 63.2.182. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  2066. Parameter \NEG = 0
  2067. Parameter \RST = 1
  2068. Parameter \BEL = 88'0000000001011000001100100011000000101111010110010011010000101111011011000110001100110101
  2069. Generating RTLIL representation for module `$paramod$eddda7c69c5b1281f2431cd4e70f1617a655638d\ice40_serdes_dff'.
  2070. Parameter \NEG = 0
  2071. Parameter \RST = 1
  2072. Parameter \BEL = 88'0000000001011000001100100011000000101111010110010011010000101111011011000110001100110110
  2073. 63.2.183. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  2074. Parameter \NEG = 0
  2075. Parameter \RST = 1
  2076. Parameter \BEL = 88'0000000001011000001100100011000000101111010110010011010000101111011011000110001100110110
  2077. Generating RTLIL representation for module `$paramod$152f54a12eb3ca1dd5881a1a75733b2cc275300e\ice40_serdes_dff'.
  2078. Parameter \NEG = 0
  2079. Parameter \RST = 1
  2080. Parameter \BEL = 88'0000000001011000001100100011000000101111010110010011010000101111011011000110001100110111
  2081. 63.2.184. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_serdes_dff'.
  2082. Parameter \NEG = 0
  2083. Parameter \RST = 1
  2084. Parameter \BEL = 88'0000000001011000001100100011000000101111010110010011010000101111011011000110001100110111
  2085. Generating RTLIL representation for module `$paramod$728f7da6b691d49936b0c3bda28a068249eab591\ice40_serdes_dff'.
  2086. 63.2.185. Analyzing design hierarchy..
  2087. Top module: \top
  2088. Used module: \sysmgr
  2089. Used module: $paramod$ee292efbb55924cacfdc6c8744bdb7148f59d2f1\ice40_serdes_sync
  2090. Used module: $paramod$681b594bc9ea94cf8d9a4c2606247a2c915777f4\ice40_serdes_dff
  2091. Used module: $paramod$30ee323e18e10972cd7003a5025831d5a704d820\ice40_serdes_dff
  2092. Used module: $paramod$3ad1583e0481d446cb74cb52afebc0dc3fce6746\ice40_serdes_dff
  2093. Used module: $paramod$52fdd5761ec779f8f28128b640a08a8cc595d827\ice40_serdes_dff
  2094. Used module: $paramod$d1350f99652fccfa0eca6f20eb458128402cb851\ice40_serdes_dff
  2095. Used module: $paramod$eddda7c69c5b1281f2431cd4e70f1617a655638d\ice40_serdes_dff
  2096. Used module: $paramod$152f54a12eb3ca1dd5881a1a75733b2cc275300e\ice40_serdes_dff
  2097. Used module: $paramod$728f7da6b691d49936b0c3bda28a068249eab591\ice40_serdes_dff
  2098. Used module: $paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001
  2099. Used module: $paramod$cfcaad11b8c62f8a1e1624a27a246465a59e45e7\ice40_rgb_wb
  2100. Used module: $paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb
  2101. Used module: \fifo_sync_ram
  2102. Used module: $paramod$b719a54c035d67416e4798d4fba708942b43d3ac\ram_sdp
  2103. Used module: \uart_rx
  2104. Used module: $paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter
  2105. Used module: \uart_tx
  2106. Used module: \vid_top
  2107. Used module: $paramod\hdmi_phy_1x\DW=s32'00000000000000000000000000001100
  2108. Used module: $paramod\delay_bit\DELAY=s32'00000000000000000000000000000100
  2109. Used module: $paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen
  2110. Used module: $paramod\dffer_n\WIDTH=s32'00000000000000000000000000001010
  2111. Used module: \vid_palette
  2112. Used module: \vid_framebuf
  2113. Used module: $paramod$9a9e2282df54acafd6229f692355382480e5c2ad\qpi_phy_ice40_4x
  2114. Used module: \ice40_oserdes
  2115. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000010011
  2116. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000010010
  2117. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000010001
  2118. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000010000
  2119. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000000011
  2120. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000000010
  2121. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000000001
  2122. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000000000
  2123. Used module: \ice40_iserdes
  2124. Used module: \ice40_serdes_dff
  2125. Used module: $paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl
  2126. Used module: \fifo_sync_shift
  2127. Used module: \delay_bus
  2128. Used module: \delay_bit
  2129. Used module: $paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core
  2130. Used module: \mc_tag_match
  2131. Used module: \mc_tag_ram
  2132. Used module: $paramod$7db067aaa473dab241a2e6bd8e04bce79caf2659\ice40_ebr
  2133. Used module: \ice40_spram_gen
  2134. Used module: $paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram
  2135. Used module: $paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100
  2136. Used module: \VexRiscv
  2137. Used module: \InstructionCache
  2138. Parameter \SERDES_GRP = 1667
  2139. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000011'.
  2140. Parameter \SERDES_GRP = 1666
  2141. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000010'.
  2142. Parameter \SERDES_GRP = 1665
  2143. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000001'.
  2144. Parameter \SERDES_GRP = 1664
  2145. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000000'.
  2146. Parameter \NEG = 1'0
  2147. Parameter \ENA = 1
  2148. Parameter \SERDES_GRP = 1171
  2149. Found cached RTLIL representation for module `$paramod$692a90536143b3fec671d73677449145eabc3620\ice40_serdes_dff'.
  2150. Parameter \NEG = 1'0
  2151. Parameter \ENA = 1
  2152. Parameter \SERDES_GRP = 1170
  2153. Found cached RTLIL representation for module `$paramod$e44b3f6b88bd9f13d1f900764c097b88a8073837\ice40_serdes_dff'.
  2154. Parameter \NEG = 1'0
  2155. Parameter \ENA = 1
  2156. Parameter \SERDES_GRP = 1169
  2157. Found cached RTLIL representation for module `$paramod$7f62c438601400b211e700d756641d52d2e1073c\ice40_serdes_dff'.
  2158. Parameter \NEG = 1'0
  2159. Parameter \ENA = 1
  2160. Parameter \SERDES_GRP = 1168
  2161. Found cached RTLIL representation for module `$paramod$b32caa8ce454d2e243a8fb7a97f312acff133bb3\ice40_serdes_dff'.
  2162. Parameter \NEG = 1'0
  2163. Parameter \SERDES_GRP = 1187
  2164. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000000010010100011'.
  2165. Parameter \NEG = 1'0
  2166. Parameter \SERDES_GRP = 1186
  2167. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000000010010100010'.
  2168. Parameter \NEG = 1'0
  2169. Parameter \SERDES_GRP = 1185
  2170. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000000010010100001'.
  2171. Parameter \NEG = 1'0
  2172. Parameter \SERDES_GRP = 1184
  2173. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000000010010100000'.
  2174. Parameter \DEPTH = 512
  2175. Parameter \WIDTH = 8
  2176. Found cached RTLIL representation for module `$paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram'.
  2177. Parameter \DIV_WIDTH = 12
  2178. Parameter \GLITCH_FILTER = 2
  2179. 63.2.186. Executing AST frontend in derive mode using pre-parsed AST for module `\uart_rx'.
  2180. Parameter \DIV_WIDTH = 12
  2181. Parameter \GLITCH_FILTER = 2
  2182. Generating RTLIL representation for module `$paramod$cae5572c97b54b153bd196ca558b9ddbfed34bda\uart_rx'.
  2183. Parameter \DEPTH = 512
  2184. Parameter \WIDTH = 8
  2185. Found cached RTLIL representation for module `$paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram'.
  2186. Parameter \DIV_WIDTH = 12
  2187. 63.2.187. Executing AST frontend in derive mode using pre-parsed AST for module `\uart_tx'.
  2188. Parameter \DIV_WIDTH = 12
  2189. Generating RTLIL representation for module `$paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001100'.
  2190. Parameter \MODE = 64'0100001101001100010010110011100100110000010111110011010001011000
  2191. Parameter \SERDES_GRP = 64
  2192. Found cached RTLIL representation for module `$paramod$ee5fa83b1ca770f4f834672f5d772cff0c2d7889\ice40_oserdes'.
  2193. Parameter \EDGE_SEL = 80'01010011010010010100111001000111010011000100010101011111010100000100111101010011
  2194. Parameter \PHASE_SEL = 48'010100110101010001000001010101000100100101000011
  2195. Parameter \PHASE = 1
  2196. Parameter \SERDES_GRP = 48
  2197. Found cached RTLIL representation for module `$paramod$0e9701ec0ff3618e82c6b3c7773f21bce1c1aa12\ice40_iserdes'.
  2198. Parameter \MODE = 1145132097
  2199. Parameter \SERDES_GRP = 48
  2200. Found cached RTLIL representation for module `$paramod$863b677bc445782f1534bda513d3ab7f02676b5c\ice40_oserdes'.
  2201. Parameter \MODE = 1145132097
  2202. Parameter \SERDES_GRP = 50
  2203. Found cached RTLIL representation for module `$paramod$75c621542c1e9767613d02b1f3511b93ee44cfa1\ice40_oserdes'.
  2204. Parameter \EDGE_SEL = 80'01010011010010010100111001000111010011000100010101011111010100000100111101010011
  2205. Parameter \PHASE_SEL = 48'010100110101010001000001010101000100100101000011
  2206. Parameter \PHASE = 1
  2207. Parameter \SERDES_GRP = 32
  2208. Found cached RTLIL representation for module `$paramod$67159177885044a3572460fa032eb51bd80cf94f\ice40_iserdes'.
  2209. Parameter \MODE = 1145132097
  2210. Parameter \SERDES_GRP = 32
  2211. Found cached RTLIL representation for module `$paramod$fe37dab75e68305ee07af1db6f0f96490b9fdc39\ice40_oserdes'.
  2212. Parameter \MODE = 1145132097
  2213. Parameter \SERDES_GRP = 34
  2214. Found cached RTLIL representation for module `$paramod$a13561ec4a4d594cc35622ccd91582314db7bb43\ice40_oserdes'.
  2215. Parameter \EDGE_SEL = 80'01010011010010010100111001000111010011000100010101011111010100000100111101010011
  2216. Parameter \PHASE_SEL = 48'010100110101010001000001010101000100100101000011
  2217. Parameter \PHASE = 1
  2218. Parameter \SERDES_GRP = 16
  2219. Found cached RTLIL representation for module `$paramod$14e5d95e036b4742363dfea0c94e50791839025a\ice40_iserdes'.
  2220. Parameter \MODE = 1145132097
  2221. Parameter \SERDES_GRP = 16
  2222. Found cached RTLIL representation for module `$paramod$5c6db5a604a4655a57dfd9340bd964139a251510\ice40_oserdes'.
  2223. Parameter \MODE = 1145132097
  2224. Parameter \SERDES_GRP = 18
  2225. Found cached RTLIL representation for module `$paramod$087675b5e9c65e52d59a156f0ccae4f673504c8c\ice40_oserdes'.
  2226. Parameter \EDGE_SEL = 80'01010011010010010100111001000111010011000100010101011111010100000100111101010011
  2227. Parameter \PHASE_SEL = 48'010100110101010001000001010101000100100101000011
  2228. Parameter \PHASE = 1
  2229. Parameter \SERDES_GRP = 0
  2230. Found cached RTLIL representation for module `$paramod$c937df4c258ba3feed83404fb87e6cc4fb9fbffd\ice40_iserdes'.
  2231. Parameter \MODE = 1145132097
  2232. Parameter \SERDES_GRP = 0
  2233. Found cached RTLIL representation for module `$paramod$917d62ab073ab2caba68f39824d52335f3c1a5e3\ice40_oserdes'.
  2234. Parameter \MODE = 1145132097
  2235. Parameter \SERDES_GRP = 2
  2236. Found cached RTLIL representation for module `$paramod$9a9c4528a326fac997fd1fc463b8f4223e2e4501\ice40_oserdes'.
  2237. Parameter \DEPTH = 1
  2238. Parameter \WIDTH = 32
  2239. Found cached RTLIL representation for module `$paramod$dafc3a7f4a5bcc8b3adb4c007b6f574c47147813\fifo_sync_shift'.
  2240. Parameter \DEPTH = 1
  2241. Parameter \WIDTH = 36
  2242. Found cached RTLIL representation for module `$paramod$8b22e2c4b4d1e8b8ca3524a85d03e9beac836863\fifo_sync_shift'.
  2243. Parameter 1 (\DELAY) = 4
  2244. Parameter 2 (\WIDTH) = 2
  2245. 63.2.188. Executing AST frontend in derive mode using pre-parsed AST for module `\delay_bus'.
  2246. Parameter 1 (\DELAY) = 4
  2247. Parameter 2 (\WIDTH) = 2
  2248. Generating RTLIL representation for module `$paramod$1becf43d58396d216852697b52a37807eb7ec9e3\delay_bus'.
  2249. Warning: Replacing memory \dl with list of registers. See /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/delay.v:59
  2250. Parameter 1 (\DELAY) = 4
  2251. Found cached RTLIL representation for module `$paramod\delay_bit\DELAY=s32'00000000000000000000000000000100'.
  2252. Parameter \TAG_WIDTH = 12
  2253. Found cached RTLIL representation for module `$paramod\mc_tag_match\TAG_WIDTH=s32'00000000000000000000000000001100'.
  2254. Parameter \TAG_WIDTH = 12
  2255. Found cached RTLIL representation for module `$paramod\mc_tag_match\TAG_WIDTH=s32'00000000000000000000000000001100'.
  2256. Parameter \TAG_WIDTH = 12
  2257. Found cached RTLIL representation for module `$paramod\mc_tag_match\TAG_WIDTH=s32'00000000000000000000000000001100'.
  2258. Parameter \TAG_WIDTH = 12
  2259. Found cached RTLIL representation for module `$paramod\mc_tag_match\TAG_WIDTH=s32'00000000000000000000000000001100'.
  2260. Parameter \IDX_WIDTH = 9
  2261. Parameter \TAG_WIDTH = 12
  2262. Parameter \AGE_WIDTH = 2
  2263. 63.2.189. Executing AST frontend in derive mode using pre-parsed AST for module `\mc_tag_ram'.
  2264. Parameter \IDX_WIDTH = 9
  2265. Parameter \TAG_WIDTH = 12
  2266. Parameter \AGE_WIDTH = 2
  2267. Generating RTLIL representation for module `$paramod$8cc0a9cf304a487149b7985624e92ee239a362b6\mc_tag_ram'.
  2268. Cache tag memory config, 2 x 512 x 8
  2269. Parameter \IDX_WIDTH = 9
  2270. Parameter \TAG_WIDTH = 12
  2271. Parameter \AGE_WIDTH = 2
  2272. Found cached RTLIL representation for module `$paramod$8cc0a9cf304a487149b7985624e92ee239a362b6\mc_tag_ram'.
  2273. Parameter \IDX_WIDTH = 9
  2274. Parameter \TAG_WIDTH = 12
  2275. Parameter \AGE_WIDTH = 2
  2276. Found cached RTLIL representation for module `$paramod$8cc0a9cf304a487149b7985624e92ee239a362b6\mc_tag_ram'.
  2277. Parameter \IDX_WIDTH = 9
  2278. Parameter \TAG_WIDTH = 12
  2279. Parameter \AGE_WIDTH = 2
  2280. Found cached RTLIL representation for module `$paramod$8cc0a9cf304a487149b7985624e92ee239a362b6\mc_tag_ram'.
  2281. Parameter \ADDR_WIDTH = 14
  2282. Parameter \DATA_WIDTH = 32
  2283. Found cached RTLIL representation for module `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen'.
  2284. Reprocessing module $paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core because instantiated module $paramod\mc_tag_match\TAG_WIDTH=s32'00000000000000000000000000001100 has become available.
  2285. Generating RTLIL representation for module `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core'.
  2286. Warning: Replacing memory \way_tag_we with list of registers. See /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:446
  2287. Warning: Replacing memory \way_age_nxt with list of registers. See /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:406
  2288. Warning: Replacing memory \way_dirty_we with list of registers. See /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:377
  2289. Warning: Replacing memory \way_dirty_nxt with list of registers. See /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:376
  2290. Warning: Replacing memory \way_valid_we with list of registers. See /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:373
  2291. Warning: Replacing memory \way_valid_nxt with list of registers. See /home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:372
  2292. Memory cache config :
  2293. - 4 ways
  2294. - 64 kbytes cache
  2295. - 32 bytes cache lines
  2296. - 64 Mbytes address space
  2297. - 12/ 9/ 3 address split
  2298. Memory cache config :
  2299. - 4 ways
  2300. - 64 kbytes cache
  2301. - 32 bytes cache lines
  2302. - 64 Mbytes address space
  2303. - 12/ 9/ 3 address split
  2304. 63.2.190. Analyzing design hierarchy..
  2305. Top module: \top
  2306. Used module: \sysmgr
  2307. Used module: $paramod$ee292efbb55924cacfdc6c8744bdb7148f59d2f1\ice40_serdes_sync
  2308. Used module: $paramod$681b594bc9ea94cf8d9a4c2606247a2c915777f4\ice40_serdes_dff
  2309. Used module: $paramod$30ee323e18e10972cd7003a5025831d5a704d820\ice40_serdes_dff
  2310. Used module: $paramod$3ad1583e0481d446cb74cb52afebc0dc3fce6746\ice40_serdes_dff
  2311. Used module: $paramod$52fdd5761ec779f8f28128b640a08a8cc595d827\ice40_serdes_dff
  2312. Used module: $paramod$d1350f99652fccfa0eca6f20eb458128402cb851\ice40_serdes_dff
  2313. Used module: $paramod$eddda7c69c5b1281f2431cd4e70f1617a655638d\ice40_serdes_dff
  2314. Used module: $paramod$152f54a12eb3ca1dd5881a1a75733b2cc275300e\ice40_serdes_dff
  2315. Used module: $paramod$728f7da6b691d49936b0c3bda28a068249eab591\ice40_serdes_dff
  2316. Used module: $paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001
  2317. Used module: $paramod$cfcaad11b8c62f8a1e1624a27a246465a59e45e7\ice40_rgb_wb
  2318. Used module: $paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb
  2319. Used module: $paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram
  2320. Used module: $paramod$3ebcb2129805027011086c045e6bb4ce235a9e88\ram_sdp
  2321. Used module: $paramod$cae5572c97b54b153bd196ca558b9ddbfed34bda\uart_rx
  2322. Used module: \glitch_filter
  2323. Used module: $paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001100
  2324. Used module: \vid_top
  2325. Used module: $paramod\hdmi_phy_1x\DW=s32'00000000000000000000000000001100
  2326. Used module: $paramod\delay_bit\DELAY=s32'00000000000000000000000000000100
  2327. Used module: $paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen
  2328. Used module: $paramod\dffer_n\WIDTH=s32'00000000000000000000000000001010
  2329. Used module: \vid_palette
  2330. Used module: \vid_framebuf
  2331. Used module: $paramod$9a9e2282df54acafd6229f692355382480e5c2ad\qpi_phy_ice40_4x
  2332. Used module: $paramod$ee5fa83b1ca770f4f834672f5d772cff0c2d7889\ice40_oserdes
  2333. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000100000
  2334. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000010011
  2335. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000010010
  2336. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000010001
  2337. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000010000
  2338. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000000011
  2339. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000000010
  2340. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000000001
  2341. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000000000
  2342. Used module: $paramod$0e9701ec0ff3618e82c6b3c7773f21bce1c1aa12\ice40_iserdes
  2343. Used module: \ice40_serdes_dff
  2344. Used module: $paramod$863b677bc445782f1534bda513d3ab7f02676b5c\ice40_oserdes
  2345. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000010011
  2346. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000010010
  2347. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000010001
  2348. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000010000
  2349. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000000011
  2350. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000000010
  2351. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000000001
  2352. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000000000
  2353. Used module: $paramod$75c621542c1e9767613d02b1f3511b93ee44cfa1\ice40_oserdes
  2354. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000010011
  2355. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000010010
  2356. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000010001
  2357. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000010000
  2358. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000000011
  2359. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000000010
  2360. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000000001
  2361. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000000000
  2362. Used module: $paramod$67159177885044a3572460fa032eb51bd80cf94f\ice40_iserdes
  2363. Used module: $paramod$fe37dab75e68305ee07af1db6f0f96490b9fdc39\ice40_oserdes
  2364. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000010011
  2365. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000010010
  2366. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000010001
  2367. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000010000
  2368. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000000011
  2369. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000000010
  2370. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000000001
  2371. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000000000
  2372. Used module: $paramod$a13561ec4a4d594cc35622ccd91582314db7bb43\ice40_oserdes
  2373. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000010011
  2374. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000010010
  2375. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000010001
  2376. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000010000
  2377. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000000011
  2378. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000000010
  2379. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000000001
  2380. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000000000
  2381. Used module: $paramod$14e5d95e036b4742363dfea0c94e50791839025a\ice40_iserdes
  2382. Used module: $paramod$5c6db5a604a4655a57dfd9340bd964139a251510\ice40_oserdes
  2383. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000010011
  2384. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000010010
  2385. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000010001
  2386. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000010000
  2387. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000000011
  2388. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000000010
  2389. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000000001
  2390. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000000000
  2391. Used module: $paramod$087675b5e9c65e52d59a156f0ccae4f673504c8c\ice40_oserdes
  2392. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000010011
  2393. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000010010
  2394. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000010001
  2395. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000010000
  2396. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000000011
  2397. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000000010
  2398. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000000001
  2399. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000000000
  2400. Used module: $paramod$c937df4c258ba3feed83404fb87e6cc4fb9fbffd\ice40_iserdes
  2401. Used module: $paramod$917d62ab073ab2caba68f39824d52335f3c1a5e3\ice40_oserdes
  2402. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000010011
  2403. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000010010
  2404. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000010001
  2405. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000010000
  2406. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000000011
  2407. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000000010
  2408. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000000001
  2409. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000000000
  2410. Used module: $paramod$9a9c4528a326fac997fd1fc463b8f4223e2e4501\ice40_oserdes
  2411. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000010011
  2412. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000010010
  2413. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000010001
  2414. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000010000
  2415. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000000011
  2416. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000000010
  2417. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000000001
  2418. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000000000
  2419. Used module: $paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl
  2420. Used module: $paramod$dafc3a7f4a5bcc8b3adb4c007b6f574c47147813\fifo_sync_shift
  2421. Used module: $paramod$8b22e2c4b4d1e8b8ca3524a85d03e9beac836863\fifo_sync_shift
  2422. Used module: $paramod$1becf43d58396d216852697b52a37807eb7ec9e3\delay_bus
  2423. Used module: $paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core
  2424. Used module: \mc_tag_match
  2425. Used module: \mc_tag_ram
  2426. Used module: $paramod$7db067aaa473dab241a2e6bd8e04bce79caf2659\ice40_ebr
  2427. Used module: \ice40_spram_gen
  2428. Used module: $paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram
  2429. Used module: $paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100
  2430. Used module: \VexRiscv
  2431. Used module: \InstructionCache
  2432. Parameter \TAG_WIDTH = 12
  2433. Found cached RTLIL representation for module `$paramod\mc_tag_match\TAG_WIDTH=s32'00000000000000000000000000001100'.
  2434. Parameter \TAG_WIDTH = 12
  2435. Found cached RTLIL representation for module `$paramod\mc_tag_match\TAG_WIDTH=s32'00000000000000000000000000001100'.
  2436. Parameter \TAG_WIDTH = 12
  2437. Found cached RTLIL representation for module `$paramod\mc_tag_match\TAG_WIDTH=s32'00000000000000000000000000001100'.
  2438. Parameter \TAG_WIDTH = 12
  2439. Found cached RTLIL representation for module `$paramod\mc_tag_match\TAG_WIDTH=s32'00000000000000000000000000001100'.
  2440. Parameter \IDX_WIDTH = 9
  2441. Parameter \TAG_WIDTH = 12
  2442. Parameter \AGE_WIDTH = 2
  2443. Found cached RTLIL representation for module `$paramod$8cc0a9cf304a487149b7985624e92ee239a362b6\mc_tag_ram'.
  2444. Parameter \IDX_WIDTH = 9
  2445. Parameter \TAG_WIDTH = 12
  2446. Parameter \AGE_WIDTH = 2
  2447. Found cached RTLIL representation for module `$paramod$8cc0a9cf304a487149b7985624e92ee239a362b6\mc_tag_ram'.
  2448. Parameter \IDX_WIDTH = 9
  2449. Parameter \TAG_WIDTH = 12
  2450. Parameter \AGE_WIDTH = 2
  2451. Found cached RTLIL representation for module `$paramod$8cc0a9cf304a487149b7985624e92ee239a362b6\mc_tag_ram'.
  2452. Parameter \IDX_WIDTH = 9
  2453. Parameter \TAG_WIDTH = 12
  2454. Parameter \AGE_WIDTH = 2
  2455. Found cached RTLIL representation for module `$paramod$8cc0a9cf304a487149b7985624e92ee239a362b6\mc_tag_ram'.
  2456. Parameter \ADDR_WIDTH = 14
  2457. Parameter \DATA_WIDTH = 32
  2458. Found cached RTLIL representation for module `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen'.
  2459. Parameter \SERDES_GRP = 13956
  2460. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011011010000100'.
  2461. Parameter \SERDES_GRP = 13955
  2462. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011011010000011'.
  2463. Parameter \SERDES_GRP = 13954
  2464. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011011010000010'.
  2465. Parameter \SERDES_GRP = 13953
  2466. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011011010000001'.
  2467. Parameter \SERDES_GRP = 13952
  2468. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011011010000000'.
  2469. Parameter \NEG = 1'0
  2470. Parameter \ENA = 1
  2471. Parameter \SERDES_GRP = 13459
  2472. Found cached RTLIL representation for module `$paramod$4d8f22cf4ec64d0fb9f63f1c98686217b3799a7d\ice40_serdes_dff'.
  2473. Parameter \NEG = 1'0
  2474. Parameter \ENA = 1
  2475. Parameter \SERDES_GRP = 13458
  2476. Found cached RTLIL representation for module `$paramod$e7ef2081568887628eb303394d34c9d8476d8a88\ice40_serdes_dff'.
  2477. Parameter \NEG = 1'0
  2478. Parameter \ENA = 1
  2479. Parameter \SERDES_GRP = 13457
  2480. Found cached RTLIL representation for module `$paramod$2797d931cd0954c5520f5806e7f1ebd82a03ad28\ice40_serdes_dff'.
  2481. Parameter \NEG = 1'0
  2482. Parameter \ENA = 1
  2483. Parameter \SERDES_GRP = 13456
  2484. Found cached RTLIL representation for module `$paramod$9424c184a55595b25ce0f57bb97552df113fdbb7\ice40_serdes_dff'.
  2485. Parameter \NEG = 1'0
  2486. Parameter \SERDES_GRP = 13475
  2487. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000011010010100011'.
  2488. Parameter \NEG = 1'0
  2489. Parameter \SERDES_GRP = 13474
  2490. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000011010010100010'.
  2491. Parameter \NEG = 1'0
  2492. Parameter \SERDES_GRP = 13473
  2493. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000011010010100001'.
  2494. Parameter \NEG = 1'0
  2495. Parameter \SERDES_GRP = 13472
  2496. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000011010010100000'.
  2497. Parameter \L = 2
  2498. Parameter \RST_VAL = 1'1
  2499. Parameter \WITH_SYNCHRONIZER = 1
  2500. Found cached RTLIL representation for module `$paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter'.
  2501. Parameter \SERDES_GRP = 9860
  2502. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010011010000100'.
  2503. Parameter \SERDES_GRP = 9859
  2504. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010011010000011'.
  2505. Parameter \SERDES_GRP = 9858
  2506. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010011010000010'.
  2507. Parameter \SERDES_GRP = 9857
  2508. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010011010000001'.
  2509. Parameter \SERDES_GRP = 9856
  2510. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010011010000000'.
  2511. Parameter \NEG = 1'0
  2512. Parameter \ENA = 1
  2513. Parameter \SERDES_GRP = 9363
  2514. Found cached RTLIL representation for module `$paramod$8364285540c9e5de4006551b06ee5b25c31d3ac4\ice40_serdes_dff'.
  2515. Parameter \NEG = 1'0
  2516. Parameter \ENA = 1
  2517. Parameter \SERDES_GRP = 9362
  2518. Found cached RTLIL representation for module `$paramod$3b1dc861a4d0285a9c0e28e86e84bf3f3bf78af8\ice40_serdes_dff'.
  2519. Parameter \NEG = 1'0
  2520. Parameter \ENA = 1
  2521. Parameter \SERDES_GRP = 9361
  2522. Found cached RTLIL representation for module `$paramod$c937d5e4376f8f2580c5fe8fd2426ef1292329e8\ice40_serdes_dff'.
  2523. Parameter \NEG = 1'0
  2524. Parameter \ENA = 1
  2525. Parameter \SERDES_GRP = 9360
  2526. Found cached RTLIL representation for module `$paramod$4f4cafaa8e148481ffc96bfe5f39236edef69b27\ice40_serdes_dff'.
  2527. Parameter \NEG = 1'0
  2528. Parameter \SERDES_GRP = 9379
  2529. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000010010010100011'.
  2530. Parameter \NEG = 1'0
  2531. Parameter \SERDES_GRP = 9378
  2532. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000010010010100010'.
  2533. Parameter \NEG = 1'0
  2534. Parameter \SERDES_GRP = 9377
  2535. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000010010010100001'.
  2536. Parameter \NEG = 1'0
  2537. Parameter \SERDES_GRP = 9376
  2538. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000010010010100000'.
  2539. Parameter \SERDES_GRP = 5764
  2540. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001011010000100'.
  2541. Parameter \SERDES_GRP = 5763
  2542. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001011010000011'.
  2543. Parameter \SERDES_GRP = 5762
  2544. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001011010000010'.
  2545. Parameter \SERDES_GRP = 5761
  2546. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001011010000001'.
  2547. Parameter \SERDES_GRP = 5760
  2548. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001011010000000'.
  2549. Parameter \NEG = 1'0
  2550. Parameter \ENA = 1
  2551. Parameter \SERDES_GRP = 5267
  2552. Found cached RTLIL representation for module `$paramod$585d871e3f028093b352aaf4a6a5ed67b111fc87\ice40_serdes_dff'.
  2553. Parameter \NEG = 1'0
  2554. Parameter \ENA = 1
  2555. Parameter \SERDES_GRP = 5266
  2556. Found cached RTLIL representation for module `$paramod$cc9a6e6bf39368ff82a94c2c06971bb1433935c4\ice40_serdes_dff'.
  2557. Parameter \NEG = 1'0
  2558. Parameter \ENA = 1
  2559. Parameter \SERDES_GRP = 5265
  2560. Found cached RTLIL representation for module `$paramod$609ef96eefd5f217e59829af7272b2c75ca4270a\ice40_serdes_dff'.
  2561. Parameter \NEG = 1'0
  2562. Parameter \ENA = 1
  2563. Parameter \SERDES_GRP = 5264
  2564. Found cached RTLIL representation for module `$paramod$287ed5c83870694bd6af0f98e8abdcf9f10a3b0d\ice40_serdes_dff'.
  2565. Parameter \NEG = 1'0
  2566. Parameter \SERDES_GRP = 5283
  2567. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000001010010100011'.
  2568. Parameter \NEG = 1'0
  2569. Parameter \SERDES_GRP = 5282
  2570. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000001010010100010'.
  2571. Parameter \NEG = 1'0
  2572. Parameter \SERDES_GRP = 5281
  2573. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000001010010100001'.
  2574. Parameter \NEG = 1'0
  2575. Parameter \SERDES_GRP = 5280
  2576. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000001010010100000'.
  2577. Parameter \SERDES_GRP = 1668
  2578. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000100'.
  2579. Parameter \SERDES_GRP = 1667
  2580. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000011'.
  2581. Parameter \SERDES_GRP = 1666
  2582. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000010'.
  2583. Parameter \SERDES_GRP = 1665
  2584. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000001'.
  2585. Parameter \SERDES_GRP = 1664
  2586. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000000'.
  2587. Parameter \NEG = 1'0
  2588. Parameter \ENA = 1
  2589. Parameter \SERDES_GRP = 1171
  2590. Found cached RTLIL representation for module `$paramod$692a90536143b3fec671d73677449145eabc3620\ice40_serdes_dff'.
  2591. Parameter \NEG = 1'0
  2592. Parameter \ENA = 1
  2593. Parameter \SERDES_GRP = 1170
  2594. Found cached RTLIL representation for module `$paramod$e44b3f6b88bd9f13d1f900764c097b88a8073837\ice40_serdes_dff'.
  2595. Parameter \NEG = 1'0
  2596. Parameter \ENA = 1
  2597. Parameter \SERDES_GRP = 1169
  2598. Found cached RTLIL representation for module `$paramod$7f62c438601400b211e700d756641d52d2e1073c\ice40_serdes_dff'.
  2599. Parameter \NEG = 1'0
  2600. Parameter \ENA = 1
  2601. Parameter \SERDES_GRP = 1168
  2602. Found cached RTLIL representation for module `$paramod$b32caa8ce454d2e243a8fb7a97f312acff133bb3\ice40_serdes_dff'.
  2603. Parameter \NEG = 1'0
  2604. Parameter \SERDES_GRP = 1187
  2605. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000000010010100011'.
  2606. Parameter \NEG = 1'0
  2607. Parameter \SERDES_GRP = 1186
  2608. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000000010010100010'.
  2609. Parameter \NEG = 1'0
  2610. Parameter \SERDES_GRP = 1185
  2611. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000000010010100001'.
  2612. Parameter \NEG = 1'0
  2613. Parameter \SERDES_GRP = 1184
  2614. Found cached RTLIL representation for module `$paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000000010010100000'.
  2615. 63.2.191. Analyzing design hierarchy..
  2616. Top module: \top
  2617. Used module: \sysmgr
  2618. Used module: $paramod$ee292efbb55924cacfdc6c8744bdb7148f59d2f1\ice40_serdes_sync
  2619. Used module: $paramod$681b594bc9ea94cf8d9a4c2606247a2c915777f4\ice40_serdes_dff
  2620. Used module: $paramod$30ee323e18e10972cd7003a5025831d5a704d820\ice40_serdes_dff
  2621. Used module: $paramod$3ad1583e0481d446cb74cb52afebc0dc3fce6746\ice40_serdes_dff
  2622. Used module: $paramod$52fdd5761ec779f8f28128b640a08a8cc595d827\ice40_serdes_dff
  2623. Used module: $paramod$d1350f99652fccfa0eca6f20eb458128402cb851\ice40_serdes_dff
  2624. Used module: $paramod$eddda7c69c5b1281f2431cd4e70f1617a655638d\ice40_serdes_dff
  2625. Used module: $paramod$152f54a12eb3ca1dd5881a1a75733b2cc275300e\ice40_serdes_dff
  2626. Used module: $paramod$728f7da6b691d49936b0c3bda28a068249eab591\ice40_serdes_dff
  2627. Used module: $paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001
  2628. Used module: $paramod$cfcaad11b8c62f8a1e1624a27a246465a59e45e7\ice40_rgb_wb
  2629. Used module: $paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb
  2630. Used module: $paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram
  2631. Used module: $paramod$3ebcb2129805027011086c045e6bb4ce235a9e88\ram_sdp
  2632. Used module: $paramod$cae5572c97b54b153bd196ca558b9ddbfed34bda\uart_rx
  2633. Used module: $paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter
  2634. Used module: $paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001100
  2635. Used module: \vid_top
  2636. Used module: $paramod\hdmi_phy_1x\DW=s32'00000000000000000000000000001100
  2637. Used module: $paramod\delay_bit\DELAY=s32'00000000000000000000000000000100
  2638. Used module: $paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen
  2639. Used module: $paramod\dffer_n\WIDTH=s32'00000000000000000000000000001010
  2640. Used module: \vid_palette
  2641. Used module: \vid_framebuf
  2642. Used module: $paramod$9a9e2282df54acafd6229f692355382480e5c2ad\qpi_phy_ice40_4x
  2643. Used module: $paramod$ee5fa83b1ca770f4f834672f5d772cff0c2d7889\ice40_oserdes
  2644. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000100000
  2645. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000010011
  2646. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000010010
  2647. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000010001
  2648. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000010000
  2649. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000000011
  2650. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000000010
  2651. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000000001
  2652. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000000000
  2653. Used module: $paramod$0e9701ec0ff3618e82c6b3c7773f21bce1c1aa12\ice40_iserdes
  2654. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011011010000100
  2655. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011011010000011
  2656. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011011010000010
  2657. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011011010000001
  2658. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011011010000000
  2659. Used module: $paramod$4d8f22cf4ec64d0fb9f63f1c98686217b3799a7d\ice40_serdes_dff
  2660. Used module: $paramod$e7ef2081568887628eb303394d34c9d8476d8a88\ice40_serdes_dff
  2661. Used module: $paramod$2797d931cd0954c5520f5806e7f1ebd82a03ad28\ice40_serdes_dff
  2662. Used module: $paramod$9424c184a55595b25ce0f57bb97552df113fdbb7\ice40_serdes_dff
  2663. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000011010010100011
  2664. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000011010010100010
  2665. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000011010010100001
  2666. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000011010010100000
  2667. Used module: $paramod$863b677bc445782f1534bda513d3ab7f02676b5c\ice40_oserdes
  2668. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000010011
  2669. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000010010
  2670. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000010001
  2671. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000010000
  2672. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000000011
  2673. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000000010
  2674. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000000001
  2675. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000000000
  2676. Used module: $paramod$75c621542c1e9767613d02b1f3511b93ee44cfa1\ice40_oserdes
  2677. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000010011
  2678. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000010010
  2679. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000010001
  2680. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000010000
  2681. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000000011
  2682. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000000010
  2683. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000000001
  2684. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000000000
  2685. Used module: $paramod$67159177885044a3572460fa032eb51bd80cf94f\ice40_iserdes
  2686. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010011010000100
  2687. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010011010000011
  2688. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010011010000010
  2689. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010011010000001
  2690. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010011010000000
  2691. Used module: $paramod$8364285540c9e5de4006551b06ee5b25c31d3ac4\ice40_serdes_dff
  2692. Used module: $paramod$3b1dc861a4d0285a9c0e28e86e84bf3f3bf78af8\ice40_serdes_dff
  2693. Used module: $paramod$c937d5e4376f8f2580c5fe8fd2426ef1292329e8\ice40_serdes_dff
  2694. Used module: $paramod$4f4cafaa8e148481ffc96bfe5f39236edef69b27\ice40_serdes_dff
  2695. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000010010010100011
  2696. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000010010010100010
  2697. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000010010010100001
  2698. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000010010010100000
  2699. Used module: $paramod$fe37dab75e68305ee07af1db6f0f96490b9fdc39\ice40_oserdes
  2700. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000010011
  2701. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000010010
  2702. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000010001
  2703. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000010000
  2704. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000000011
  2705. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000000010
  2706. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000000001
  2707. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000000000
  2708. Used module: $paramod$a13561ec4a4d594cc35622ccd91582314db7bb43\ice40_oserdes
  2709. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000010011
  2710. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000010010
  2711. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000010001
  2712. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000010000
  2713. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000000011
  2714. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000000010
  2715. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000000001
  2716. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000000000
  2717. Used module: $paramod$14e5d95e036b4742363dfea0c94e50791839025a\ice40_iserdes
  2718. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001011010000100
  2719. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001011010000011
  2720. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001011010000010
  2721. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001011010000001
  2722. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001011010000000
  2723. Used module: $paramod$585d871e3f028093b352aaf4a6a5ed67b111fc87\ice40_serdes_dff
  2724. Used module: $paramod$cc9a6e6bf39368ff82a94c2c06971bb1433935c4\ice40_serdes_dff
  2725. Used module: $paramod$609ef96eefd5f217e59829af7272b2c75ca4270a\ice40_serdes_dff
  2726. Used module: $paramod$287ed5c83870694bd6af0f98e8abdcf9f10a3b0d\ice40_serdes_dff
  2727. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000001010010100011
  2728. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000001010010100010
  2729. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000001010010100001
  2730. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000001010010100000
  2731. Used module: $paramod$5c6db5a604a4655a57dfd9340bd964139a251510\ice40_oserdes
  2732. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000010011
  2733. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000010010
  2734. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000010001
  2735. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000010000
  2736. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000000011
  2737. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000000010
  2738. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000000001
  2739. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000000000
  2740. Used module: $paramod$087675b5e9c65e52d59a156f0ccae4f673504c8c\ice40_oserdes
  2741. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000010011
  2742. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000010010
  2743. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000010001
  2744. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000010000
  2745. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000000011
  2746. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000000010
  2747. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000000001
  2748. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000000000
  2749. Used module: $paramod$c937df4c258ba3feed83404fb87e6cc4fb9fbffd\ice40_iserdes
  2750. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000100
  2751. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000011
  2752. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000010
  2753. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000001
  2754. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000000
  2755. Used module: $paramod$692a90536143b3fec671d73677449145eabc3620\ice40_serdes_dff
  2756. Used module: $paramod$e44b3f6b88bd9f13d1f900764c097b88a8073837\ice40_serdes_dff
  2757. Used module: $paramod$7f62c438601400b211e700d756641d52d2e1073c\ice40_serdes_dff
  2758. Used module: $paramod$b32caa8ce454d2e243a8fb7a97f312acff133bb3\ice40_serdes_dff
  2759. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000000010010100011
  2760. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000000010010100010
  2761. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000000010010100001
  2762. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000000010010100000
  2763. Used module: $paramod$917d62ab073ab2caba68f39824d52335f3c1a5e3\ice40_oserdes
  2764. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000010011
  2765. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000010010
  2766. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000010001
  2767. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000010000
  2768. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000000011
  2769. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000000010
  2770. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000000001
  2771. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000000000
  2772. Used module: $paramod$9a9c4528a326fac997fd1fc463b8f4223e2e4501\ice40_oserdes
  2773. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000010011
  2774. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000010010
  2775. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000010001
  2776. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000010000
  2777. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000000011
  2778. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000000010
  2779. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000000001
  2780. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000000000
  2781. Used module: $paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl
  2782. Used module: $paramod$dafc3a7f4a5bcc8b3adb4c007b6f574c47147813\fifo_sync_shift
  2783. Used module: $paramod$8b22e2c4b4d1e8b8ca3524a85d03e9beac836863\fifo_sync_shift
  2784. Used module: $paramod$1becf43d58396d216852697b52a37807eb7ec9e3\delay_bus
  2785. Used module: $paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core
  2786. Used module: $paramod\mc_tag_match\TAG_WIDTH=s32'00000000000000000000000000001100
  2787. Used module: $paramod$8cc0a9cf304a487149b7985624e92ee239a362b6\mc_tag_ram
  2788. Used module: \ice40_ebr
  2789. Used module: $paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen
  2790. Used module: $paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram
  2791. Used module: $paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100
  2792. Used module: \VexRiscv
  2793. Used module: \InstructionCache
  2794. Parameter \READ_MODE = 1
  2795. Parameter \WRITE_MODE = 1
  2796. Parameter \MASK_WORKAROUND = 1
  2797. Parameter \NEG_WR_CLK = 1
  2798. 63.2.192. Executing AST frontend in derive mode using pre-parsed AST for module `\ice40_ebr'.
  2799. Parameter \READ_MODE = 1
  2800. Parameter \WRITE_MODE = 1
  2801. Parameter \MASK_WORKAROUND = 1
  2802. Parameter \NEG_WR_CLK = 1
  2803. Generating RTLIL representation for module `$paramod$f1ce7771bad0baf70eccc6d92471271ce6f4b9b5\ice40_ebr'.
  2804. Parameter \READ_MODE = 1
  2805. Parameter \WRITE_MODE = 1
  2806. Parameter \MASK_WORKAROUND = 1
  2807. Parameter \NEG_WR_CLK = 1
  2808. Found cached RTLIL representation for module `$paramod$f1ce7771bad0baf70eccc6d92471271ce6f4b9b5\ice40_ebr'.
  2809. 63.2.193. Analyzing design hierarchy..
  2810. Top module: \top
  2811. Used module: \sysmgr
  2812. Used module: $paramod$ee292efbb55924cacfdc6c8744bdb7148f59d2f1\ice40_serdes_sync
  2813. Used module: $paramod$681b594bc9ea94cf8d9a4c2606247a2c915777f4\ice40_serdes_dff
  2814. Used module: $paramod$30ee323e18e10972cd7003a5025831d5a704d820\ice40_serdes_dff
  2815. Used module: $paramod$3ad1583e0481d446cb74cb52afebc0dc3fce6746\ice40_serdes_dff
  2816. Used module: $paramod$52fdd5761ec779f8f28128b640a08a8cc595d827\ice40_serdes_dff
  2817. Used module: $paramod$d1350f99652fccfa0eca6f20eb458128402cb851\ice40_serdes_dff
  2818. Used module: $paramod$eddda7c69c5b1281f2431cd4e70f1617a655638d\ice40_serdes_dff
  2819. Used module: $paramod$152f54a12eb3ca1dd5881a1a75733b2cc275300e\ice40_serdes_dff
  2820. Used module: $paramod$728f7da6b691d49936b0c3bda28a068249eab591\ice40_serdes_dff
  2821. Used module: $paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001
  2822. Used module: $paramod$cfcaad11b8c62f8a1e1624a27a246465a59e45e7\ice40_rgb_wb
  2823. Used module: $paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb
  2824. Used module: $paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram
  2825. Used module: $paramod$3ebcb2129805027011086c045e6bb4ce235a9e88\ram_sdp
  2826. Used module: $paramod$cae5572c97b54b153bd196ca558b9ddbfed34bda\uart_rx
  2827. Used module: $paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter
  2828. Used module: $paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001100
  2829. Used module: \vid_top
  2830. Used module: $paramod\hdmi_phy_1x\DW=s32'00000000000000000000000000001100
  2831. Used module: $paramod\delay_bit\DELAY=s32'00000000000000000000000000000100
  2832. Used module: $paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen
  2833. Used module: $paramod\dffer_n\WIDTH=s32'00000000000000000000000000001010
  2834. Used module: \vid_palette
  2835. Used module: \vid_framebuf
  2836. Used module: $paramod$9a9e2282df54acafd6229f692355382480e5c2ad\qpi_phy_ice40_4x
  2837. Used module: $paramod$ee5fa83b1ca770f4f834672f5d772cff0c2d7889\ice40_oserdes
  2838. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000100000
  2839. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000010011
  2840. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000010010
  2841. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000010001
  2842. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000010000
  2843. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000000011
  2844. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000000010
  2845. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000000001
  2846. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000000000
  2847. Used module: $paramod$0e9701ec0ff3618e82c6b3c7773f21bce1c1aa12\ice40_iserdes
  2848. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011011010000100
  2849. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011011010000011
  2850. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011011010000010
  2851. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011011010000001
  2852. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011011010000000
  2853. Used module: $paramod$4d8f22cf4ec64d0fb9f63f1c98686217b3799a7d\ice40_serdes_dff
  2854. Used module: $paramod$e7ef2081568887628eb303394d34c9d8476d8a88\ice40_serdes_dff
  2855. Used module: $paramod$2797d931cd0954c5520f5806e7f1ebd82a03ad28\ice40_serdes_dff
  2856. Used module: $paramod$9424c184a55595b25ce0f57bb97552df113fdbb7\ice40_serdes_dff
  2857. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000011010010100011
  2858. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000011010010100010
  2859. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000011010010100001
  2860. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000011010010100000
  2861. Used module: $paramod$863b677bc445782f1534bda513d3ab7f02676b5c\ice40_oserdes
  2862. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000010011
  2863. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000010010
  2864. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000010001
  2865. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000010000
  2866. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000000011
  2867. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000000010
  2868. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000000001
  2869. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000000000
  2870. Used module: $paramod$75c621542c1e9767613d02b1f3511b93ee44cfa1\ice40_oserdes
  2871. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000010011
  2872. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000010010
  2873. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000010001
  2874. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000010000
  2875. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000000011
  2876. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000000010
  2877. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000000001
  2878. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000000000
  2879. Used module: $paramod$67159177885044a3572460fa032eb51bd80cf94f\ice40_iserdes
  2880. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010011010000100
  2881. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010011010000011
  2882. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010011010000010
  2883. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010011010000001
  2884. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010011010000000
  2885. Used module: $paramod$8364285540c9e5de4006551b06ee5b25c31d3ac4\ice40_serdes_dff
  2886. Used module: $paramod$3b1dc861a4d0285a9c0e28e86e84bf3f3bf78af8\ice40_serdes_dff
  2887. Used module: $paramod$c937d5e4376f8f2580c5fe8fd2426ef1292329e8\ice40_serdes_dff
  2888. Used module: $paramod$4f4cafaa8e148481ffc96bfe5f39236edef69b27\ice40_serdes_dff
  2889. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000010010010100011
  2890. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000010010010100010
  2891. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000010010010100001
  2892. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000010010010100000
  2893. Used module: $paramod$fe37dab75e68305ee07af1db6f0f96490b9fdc39\ice40_oserdes
  2894. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000010011
  2895. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000010010
  2896. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000010001
  2897. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000010000
  2898. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000000011
  2899. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000000010
  2900. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000000001
  2901. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000000000
  2902. Used module: $paramod$a13561ec4a4d594cc35622ccd91582314db7bb43\ice40_oserdes
  2903. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000010011
  2904. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000010010
  2905. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000010001
  2906. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000010000
  2907. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000000011
  2908. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000000010
  2909. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000000001
  2910. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000000000
  2911. Used module: $paramod$14e5d95e036b4742363dfea0c94e50791839025a\ice40_iserdes
  2912. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001011010000100
  2913. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001011010000011
  2914. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001011010000010
  2915. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001011010000001
  2916. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001011010000000
  2917. Used module: $paramod$585d871e3f028093b352aaf4a6a5ed67b111fc87\ice40_serdes_dff
  2918. Used module: $paramod$cc9a6e6bf39368ff82a94c2c06971bb1433935c4\ice40_serdes_dff
  2919. Used module: $paramod$609ef96eefd5f217e59829af7272b2c75ca4270a\ice40_serdes_dff
  2920. Used module: $paramod$287ed5c83870694bd6af0f98e8abdcf9f10a3b0d\ice40_serdes_dff
  2921. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000001010010100011
  2922. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000001010010100010
  2923. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000001010010100001
  2924. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000001010010100000
  2925. Used module: $paramod$5c6db5a604a4655a57dfd9340bd964139a251510\ice40_oserdes
  2926. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000010011
  2927. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000010010
  2928. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000010001
  2929. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000010000
  2930. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000000011
  2931. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000000010
  2932. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000000001
  2933. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000000000
  2934. Used module: $paramod$087675b5e9c65e52d59a156f0ccae4f673504c8c\ice40_oserdes
  2935. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000010011
  2936. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000010010
  2937. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000010001
  2938. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000010000
  2939. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000000011
  2940. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000000010
  2941. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000000001
  2942. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000000000
  2943. Used module: $paramod$c937df4c258ba3feed83404fb87e6cc4fb9fbffd\ice40_iserdes
  2944. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000100
  2945. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000011
  2946. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000010
  2947. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000001
  2948. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000000
  2949. Used module: $paramod$692a90536143b3fec671d73677449145eabc3620\ice40_serdes_dff
  2950. Used module: $paramod$e44b3f6b88bd9f13d1f900764c097b88a8073837\ice40_serdes_dff
  2951. Used module: $paramod$7f62c438601400b211e700d756641d52d2e1073c\ice40_serdes_dff
  2952. Used module: $paramod$b32caa8ce454d2e243a8fb7a97f312acff133bb3\ice40_serdes_dff
  2953. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000000010010100011
  2954. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000000010010100010
  2955. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000000010010100001
  2956. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000000010010100000
  2957. Used module: $paramod$917d62ab073ab2caba68f39824d52335f3c1a5e3\ice40_oserdes
  2958. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000010011
  2959. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000010010
  2960. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000010001
  2961. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000010000
  2962. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000000011
  2963. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000000010
  2964. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000000001
  2965. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000000000
  2966. Used module: $paramod$9a9c4528a326fac997fd1fc463b8f4223e2e4501\ice40_oserdes
  2967. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000010011
  2968. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000010010
  2969. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000010001
  2970. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000010000
  2971. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000000011
  2972. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000000010
  2973. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000000001
  2974. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000000000
  2975. Used module: $paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl
  2976. Used module: $paramod$dafc3a7f4a5bcc8b3adb4c007b6f574c47147813\fifo_sync_shift
  2977. Used module: $paramod$8b22e2c4b4d1e8b8ca3524a85d03e9beac836863\fifo_sync_shift
  2978. Used module: $paramod$1becf43d58396d216852697b52a37807eb7ec9e3\delay_bus
  2979. Used module: $paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core
  2980. Used module: $paramod\mc_tag_match\TAG_WIDTH=s32'00000000000000000000000000001100
  2981. Used module: $paramod$8cc0a9cf304a487149b7985624e92ee239a362b6\mc_tag_ram
  2982. Used module: $paramod$f1ce7771bad0baf70eccc6d92471271ce6f4b9b5\ice40_ebr
  2983. Used module: $paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen
  2984. Used module: $paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram
  2985. Used module: $paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100
  2986. Used module: \VexRiscv
  2987. Used module: \InstructionCache
  2988. 63.2.194. Analyzing design hierarchy..
  2989. Top module: \top
  2990. Used module: \sysmgr
  2991. Used module: $paramod$ee292efbb55924cacfdc6c8744bdb7148f59d2f1\ice40_serdes_sync
  2992. Used module: $paramod$681b594bc9ea94cf8d9a4c2606247a2c915777f4\ice40_serdes_dff
  2993. Used module: $paramod$30ee323e18e10972cd7003a5025831d5a704d820\ice40_serdes_dff
  2994. Used module: $paramod$3ad1583e0481d446cb74cb52afebc0dc3fce6746\ice40_serdes_dff
  2995. Used module: $paramod$52fdd5761ec779f8f28128b640a08a8cc595d827\ice40_serdes_dff
  2996. Used module: $paramod$d1350f99652fccfa0eca6f20eb458128402cb851\ice40_serdes_dff
  2997. Used module: $paramod$eddda7c69c5b1281f2431cd4e70f1617a655638d\ice40_serdes_dff
  2998. Used module: $paramod$152f54a12eb3ca1dd5881a1a75733b2cc275300e\ice40_serdes_dff
  2999. Used module: $paramod$728f7da6b691d49936b0c3bda28a068249eab591\ice40_serdes_dff
  3000. Used module: $paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001
  3001. Used module: $paramod$cfcaad11b8c62f8a1e1624a27a246465a59e45e7\ice40_rgb_wb
  3002. Used module: $paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb
  3003. Used module: $paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram
  3004. Used module: $paramod$3ebcb2129805027011086c045e6bb4ce235a9e88\ram_sdp
  3005. Used module: $paramod$cae5572c97b54b153bd196ca558b9ddbfed34bda\uart_rx
  3006. Used module: $paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter
  3007. Used module: $paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001100
  3008. Used module: \vid_top
  3009. Used module: $paramod\hdmi_phy_1x\DW=s32'00000000000000000000000000001100
  3010. Used module: $paramod\delay_bit\DELAY=s32'00000000000000000000000000000100
  3011. Used module: $paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen
  3012. Used module: $paramod\dffer_n\WIDTH=s32'00000000000000000000000000001010
  3013. Used module: \vid_palette
  3014. Used module: \vid_framebuf
  3015. Used module: $paramod$9a9e2282df54acafd6229f692355382480e5c2ad\qpi_phy_ice40_4x
  3016. Used module: $paramod$ee5fa83b1ca770f4f834672f5d772cff0c2d7889\ice40_oserdes
  3017. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000100000
  3018. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000010011
  3019. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000010010
  3020. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000010001
  3021. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000010000
  3022. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000000011
  3023. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000000010
  3024. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000000001
  3025. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000000000
  3026. Used module: $paramod$0e9701ec0ff3618e82c6b3c7773f21bce1c1aa12\ice40_iserdes
  3027. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011011010000100
  3028. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011011010000011
  3029. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011011010000010
  3030. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011011010000001
  3031. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011011010000000
  3032. Used module: $paramod$4d8f22cf4ec64d0fb9f63f1c98686217b3799a7d\ice40_serdes_dff
  3033. Used module: $paramod$e7ef2081568887628eb303394d34c9d8476d8a88\ice40_serdes_dff
  3034. Used module: $paramod$2797d931cd0954c5520f5806e7f1ebd82a03ad28\ice40_serdes_dff
  3035. Used module: $paramod$9424c184a55595b25ce0f57bb97552df113fdbb7\ice40_serdes_dff
  3036. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000011010010100011
  3037. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000011010010100010
  3038. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000011010010100001
  3039. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000011010010100000
  3040. Used module: $paramod$863b677bc445782f1534bda513d3ab7f02676b5c\ice40_oserdes
  3041. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000010011
  3042. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000010010
  3043. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000010001
  3044. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000010000
  3045. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000000011
  3046. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000000010
  3047. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000000001
  3048. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000000000
  3049. Used module: $paramod$75c621542c1e9767613d02b1f3511b93ee44cfa1\ice40_oserdes
  3050. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000010011
  3051. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000010010
  3052. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000010001
  3053. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000010000
  3054. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000000011
  3055. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000000010
  3056. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000000001
  3057. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000000000
  3058. Used module: $paramod$67159177885044a3572460fa032eb51bd80cf94f\ice40_iserdes
  3059. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010011010000100
  3060. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010011010000011
  3061. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010011010000010
  3062. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010011010000001
  3063. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010011010000000
  3064. Used module: $paramod$8364285540c9e5de4006551b06ee5b25c31d3ac4\ice40_serdes_dff
  3065. Used module: $paramod$3b1dc861a4d0285a9c0e28e86e84bf3f3bf78af8\ice40_serdes_dff
  3066. Used module: $paramod$c937d5e4376f8f2580c5fe8fd2426ef1292329e8\ice40_serdes_dff
  3067. Used module: $paramod$4f4cafaa8e148481ffc96bfe5f39236edef69b27\ice40_serdes_dff
  3068. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000010010010100011
  3069. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000010010010100010
  3070. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000010010010100001
  3071. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000010010010100000
  3072. Used module: $paramod$fe37dab75e68305ee07af1db6f0f96490b9fdc39\ice40_oserdes
  3073. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000010011
  3074. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000010010
  3075. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000010001
  3076. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000010000
  3077. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000000011
  3078. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000000010
  3079. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000000001
  3080. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000000000
  3081. Used module: $paramod$a13561ec4a4d594cc35622ccd91582314db7bb43\ice40_oserdes
  3082. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000010011
  3083. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000010010
  3084. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000010001
  3085. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000010000
  3086. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000000011
  3087. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000000010
  3088. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000000001
  3089. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000000000
  3090. Used module: $paramod$14e5d95e036b4742363dfea0c94e50791839025a\ice40_iserdes
  3091. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001011010000100
  3092. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001011010000011
  3093. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001011010000010
  3094. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001011010000001
  3095. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001011010000000
  3096. Used module: $paramod$585d871e3f028093b352aaf4a6a5ed67b111fc87\ice40_serdes_dff
  3097. Used module: $paramod$cc9a6e6bf39368ff82a94c2c06971bb1433935c4\ice40_serdes_dff
  3098. Used module: $paramod$609ef96eefd5f217e59829af7272b2c75ca4270a\ice40_serdes_dff
  3099. Used module: $paramod$287ed5c83870694bd6af0f98e8abdcf9f10a3b0d\ice40_serdes_dff
  3100. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000001010010100011
  3101. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000001010010100010
  3102. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000001010010100001
  3103. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000001010010100000
  3104. Used module: $paramod$5c6db5a604a4655a57dfd9340bd964139a251510\ice40_oserdes
  3105. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000010011
  3106. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000010010
  3107. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000010001
  3108. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000010000
  3109. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000000011
  3110. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000000010
  3111. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000000001
  3112. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000000000
  3113. Used module: $paramod$087675b5e9c65e52d59a156f0ccae4f673504c8c\ice40_oserdes
  3114. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000010011
  3115. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000010010
  3116. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000010001
  3117. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000010000
  3118. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000000011
  3119. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000000010
  3120. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000000001
  3121. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000000000
  3122. Used module: $paramod$c937df4c258ba3feed83404fb87e6cc4fb9fbffd\ice40_iserdes
  3123. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000100
  3124. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000011
  3125. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000010
  3126. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000001
  3127. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000000
  3128. Used module: $paramod$692a90536143b3fec671d73677449145eabc3620\ice40_serdes_dff
  3129. Used module: $paramod$e44b3f6b88bd9f13d1f900764c097b88a8073837\ice40_serdes_dff
  3130. Used module: $paramod$7f62c438601400b211e700d756641d52d2e1073c\ice40_serdes_dff
  3131. Used module: $paramod$b32caa8ce454d2e243a8fb7a97f312acff133bb3\ice40_serdes_dff
  3132. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000000010010100011
  3133. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000000010010100010
  3134. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000000010010100001
  3135. Used module: $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000000010010100000
  3136. Used module: $paramod$917d62ab073ab2caba68f39824d52335f3c1a5e3\ice40_oserdes
  3137. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000010011
  3138. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000010010
  3139. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000010001
  3140. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000010000
  3141. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000000011
  3142. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000000010
  3143. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000000001
  3144. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000000000
  3145. Used module: $paramod$9a9c4528a326fac997fd1fc463b8f4223e2e4501\ice40_oserdes
  3146. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000010011
  3147. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000010010
  3148. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000010001
  3149. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000010000
  3150. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000000011
  3151. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000000010
  3152. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000000001
  3153. Used module: $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000000000
  3154. Used module: $paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl
  3155. Used module: $paramod$dafc3a7f4a5bcc8b3adb4c007b6f574c47147813\fifo_sync_shift
  3156. Used module: $paramod$8b22e2c4b4d1e8b8ca3524a85d03e9beac836863\fifo_sync_shift
  3157. Used module: $paramod$1becf43d58396d216852697b52a37807eb7ec9e3\delay_bus
  3158. Used module: $paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core
  3159. Used module: $paramod\mc_tag_match\TAG_WIDTH=s32'00000000000000000000000000001100
  3160. Used module: $paramod$8cc0a9cf304a487149b7985624e92ee239a362b6\mc_tag_ram
  3161. Used module: $paramod$f1ce7771bad0baf70eccc6d92471271ce6f4b9b5\ice40_ebr
  3162. Used module: $paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen
  3163. Used module: $paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram
  3164. Used module: $paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100
  3165. Used module: \VexRiscv
  3166. Used module: \InstructionCache
  3167. Removing unused module `$paramod$3a038e4ae1bbb4a9811d27dd9e1d6c2d2e5d256c\ice40_serdes_dff'.
  3168. Removing unused module `$paramod$b756c283dac417a3f66bb2faa83643ea2ae173f9\ice40_serdes_dff'.
  3169. Removing unused module `$paramod$5553150595ce128e8881055d0643ebec9e06010a\ice40_serdes_dff'.
  3170. Removing unused module `$paramod$354937bc6e2407abb5374fb8b6b45a93b3cf4dcf\ice40_serdes_dff'.
  3171. Removing unused module `$paramod$7e9a852169de2d5a3807011d4af0c0c979be79db\ice40_serdes_dff'.
  3172. Removing unused module `$paramod$07ca3d1f78a879dc39a837b8d9e903991da7bbdb\ice40_serdes_dff'.
  3173. Removing unused module `$paramod$e582e01de39d2ccec0eda709a059c6502ef10ca2\ice40_serdes_dff'.
  3174. Removing unused module `\ice40_iserdes'.
  3175. Removing unused module `$paramod\dffer_n\WIDTH=s32'00000000000000000000000000001101'.
  3176. Removing unused module `\ice40_spram_gen'.
  3177. Removing unused module `$paramod$b719a54c035d67416e4798d4fba708942b43d3ac\ram_sdp'.
  3178. Removing unused module `$paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001000'.
  3179. Removing unused module `$paramod$7f9c9dc10c5023dd2cab0d7f15aed8a846ffdc0f\uart_rx'.
  3180. Removing unused module `$paramod\delay_bit\DELAY=s32'00000000000000000000000000000110'.
  3181. Removing unused module `$paramod$207d30fa21ecab167490f434b739f4dde492aa96\delay_bus'.
  3182. Removing unused module `\mc_core'.
  3183. Removing unused module `$paramod$7db067aaa473dab241a2e6bd8e04bce79caf2659\ice40_ebr'.
  3184. Removing unused module `$paramod$f397632cf779d01999ffbcfc67eeb9a2da1f7b6b\mc_tag_ram'.
  3185. Removing unused module `$paramod$feb33513e5e8d86bcdd307ab9a0650ce90ec0260\ice40_serdes_dff'.
  3186. Removing unused module `\soc_bram'.
  3187. Removing unused module `\vid_tgen'.
  3188. Removing unused module `\vid_color_map'.
  3189. Removing unused module `\vid_text'.
  3190. Removing unused module `\vid_shared_ram'.
  3191. Removing unused module `\hdmi_text_2x'.
  3192. Removing unused module `\hdmi_phy_4x'.
  3193. Removing unused module `\hdmi_phy_2x'.
  3194. Removing unused module `\hdmi_phy_1x'.
  3195. Removing unused module `\usb_tx_pkt'.
  3196. Removing unused module `\usb_tx_ll'.
  3197. Removing unused module `\usb_trans'.
  3198. Removing unused module `\usb_rx_pkt'.
  3199. Removing unused module `\usb_rx_ll'.
  3200. Removing unused module `\usb_phy'.
  3201. Removing unused module `\usb_ep_status'.
  3202. Removing unused module `\usb_ep_buf'.
  3203. Removing unused module `\usb_crc'.
  3204. Removing unused module `\usb'.
  3205. Removing unused module `\qpi_phy_ice40_4x'.
  3206. Removing unused module `\qpi_phy_ice40_2x'.
  3207. Removing unused module `\qpi_phy_ice40_1x'.
  3208. Removing unused module `\qpi_memctrl'.
  3209. Removing unused module `\xclk_wb'.
  3210. Removing unused module `\xclk_strobe'.
  3211. Removing unused module `\uart_wb'.
  3212. Removing unused module `\uart_tx'.
  3213. Removing unused module `\uart_rx'.
  3214. Removing unused module `\uart2wb'.
  3215. Removing unused module `\stream2wb'.
  3216. Removing unused module `\ram_sdp'.
  3217. Removing unused module `\pwm'.
  3218. Removing unused module `\pdm_lfsr'.
  3219. Removing unused module `\pdm'.
  3220. Removing unused module `\dffesr_n'.
  3221. Removing unused module `\dffer_n'.
  3222. Removing unused module `\dffe_n'.
  3223. Removing unused module `\dff_n'.
  3224. Removing unused module `\lut4_carry_n'.
  3225. Removing unused module `\lut4_n'.
  3226. Removing unused module `\muacm2wb'.
  3227. Removing unused module `\i2c_master_wb'.
  3228. Removing unused module `\i2c_master'.
  3229. Removing unused module `\glitch_filter'.
  3230. Removing unused module `\fifo_sync_shift'.
  3231. Removing unused module `\fifo_sync_ram'.
  3232. Removing unused module `\delay_bus'.
  3233. Removing unused module `\delay_bit'.
  3234. Removing unused module `\mc_tag_ram'.
  3235. Removing unused module `\mc_tag_match'.
  3236. Removing unused module `\mc_bus_wb'.
  3237. Removing unused module `\mc_bus_vex'.
  3238. Removing unused module `\ice40_serdes_sync'.
  3239. Removing unused module `\ice40_serdes_dff'.
  3240. Removing unused module `\ice40_serdes_crg'.
  3241. Removing unused module `\ice40_oserdes'.
  3242. Removing unused module `\ice40_spram_wb'.
  3243. Removing unused module `\ice40_spi_wb'.
  3244. Removing unused module `\ice40_rgb_wb'.
  3245. Removing unused module `\ice40_i2c_wb'.
  3246. Removing unused module `\ice40_ebr'.
  3247. Removed 80 unused modules.
  3248. Module $paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core directly or indirectly displays text -> setting "keep" attribute.
  3249. Module top directly or indirectly displays text -> setting "keep" attribute.
  3250. Module $paramod$8cc0a9cf304a487149b7985624e92ee239a362b6\mc_tag_ram directly or indirectly displays text -> setting "keep" attribute.
  3251. Module $paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen directly or indirectly displays text -> setting "keep" attribute.
  3252. Mapping positional arguments of cell $paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.dly_si_dst ($paramod$1becf43d58396d216852697b52a37807eb7ec9e3\delay_bus).
  3253. Mapping positional arguments of cell $paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.dly_si_mode ($paramod\delay_bit\DELAY=s32'00000000000000000000000000000100).
  3254. Mapping positional arguments of cell vid_top.dly_de ($paramod\delay_bit\DELAY=s32'00000000000000000000000000000100).
  3255. Mapping positional arguments of cell vid_top.dly_vsync ($paramod\delay_bit\DELAY=s32'00000000000000000000000000000100).
  3256. Mapping positional arguments of cell vid_top.dly_hsync ($paramod\delay_bit\DELAY=s32'00000000000000000000000000000100).
  3257. 63.3. Executing PROC pass (convert processes to netlists).
  3258. 63.3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
  3259. Found and cleaned up 1 empty switch in `$paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:0$3388'.
  3260. Removing empty process `$paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:0$3388'.
  3261. Found and cleaned up 1 empty switch in `$paramod$8cc0a9cf304a487149b7985624e92ee239a362b6\mc_tag_ram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_tag_ram.v:0$4451'.
  3262. Removing empty process `$paramod$8cc0a9cf304a487149b7985624e92ee239a362b6\mc_tag_ram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_tag_ram.v:0$4451'.
  3263. Found and cleaned up 1 empty switch in `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:773$3158'.
  3264. Found and cleaned up 1 empty switch in `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:714$3105'.
  3265. Found and cleaned up 2 empty switches in `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:700$3085'.
  3266. Cleaned up 6 empty switches.
  3267. 63.3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
  3268. Removed 1 dead cases from process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4631 in module $paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.
  3269. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4631 in module $paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.
  3270. Removed 1 dead cases from process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4628 in module $paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.
  3271. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4628 in module $paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.
  3272. Removed 1 dead cases from process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4625 in module $paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.
  3273. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4625 in module $paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.
  3274. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:503$4617 in module $paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.
  3275. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:476$4615 in module $paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.
  3276. Marked 21 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:396$4577 in module $paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.
  3277. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:364$4560 in module $paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.
  3278. Marked 3 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:330$4546 in module $paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.
  3279. Marked 3 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:309$4541 in module $paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.
  3280. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:220$4524 in module $paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.
  3281. Removed 1 dead cases from process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:174$4514 in module $paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.
  3282. Marked 5 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:174$4514 in module $paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.
  3283. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:167$4513 in module $paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.
  3284. Marked 2 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:58$4440 in module $paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001100.
  3285. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:51$4437 in module $paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001100.
  3286. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:42$4433 in module $paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001100.
  3287. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:35$4429 in module $paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001100.
  3288. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:88$4419 in module $paramod$cae5572c97b54b153bd196ca558b9ddbfed34bda\uart_rx.
  3289. Marked 2 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:77$4415 in module $paramod$cae5572c97b54b153bd196ca558b9ddbfed34bda\uart_rx.
  3290. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:70$4411 in module $paramod$cae5572c97b54b153bd196ca558b9ddbfed34bda\uart_rx.
  3291. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v:39$4400 in module $paramod$3ebcb2129805027011086c045e6bb4ce235a9e88\ram_sdp.
  3292. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:116$3982 in module $paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram.
  3293. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:108$3976 in module $paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram.
  3294. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:95$3974 in module $paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram.
  3295. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:83$3965 in module $paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram.
  3296. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:67$3959 in module $paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram.
  3297. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:179$3945 in module $paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.
  3298. Removed 1 dead cases from process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:147$3944 in module $paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.
  3299. Marked 2 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:147$3944 in module $paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.
  3300. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:141$3942 in module $paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.
  3301. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:135$3941 in module $paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.
  3302. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:121$3936 in module $paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.
  3303. Removed 1 dead cases from process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:108$3935 in module $paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.
  3304. Marked 2 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:108$3935 in module $paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.
  3305. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:102$3933 in module $paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.
  3306. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:96$3932 in module $paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.
  3307. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v:64$3884 in module $paramod$8b22e2c4b4d1e8b8ca3524a85d03e9beac836863\fifo_sync_shift.
  3308. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v:57$3882 in module $paramod$8b22e2c4b4d1e8b8ca3524a85d03e9beac836863\fifo_sync_shift.
  3309. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v:64$3871 in module $paramod$dafc3a7f4a5bcc8b3adb4c007b6f574c47147813\fifo_sync_shift.
  3310. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v:57$3869 in module $paramod$dafc3a7f4a5bcc8b3adb4c007b6f574c47147813\fifo_sync_shift.
  3311. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/glitch_filter.v:95$3509 in module $paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter.
  3312. Marked 3 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/glitch_filter.v:80$3505 in module $paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter.
  3313. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/glitch_filter.v:70$3501 in module $paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter.
  3314. Marked 2 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/glitch_filter.v:60$3493 in module $paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter.
  3315. Marked 4 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:31$3353 in module $paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram.
  3316. Marked 5 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:615$3181 in module $paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.
  3317. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:773$3158 in module $paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.
  3318. Removed 1 dead cases from process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:714$3105 in module $paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.
  3319. Marked 4 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:714$3105 in module $paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.
  3320. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:700$3085 in module $paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.
  3321. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:691$3083 in module $paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.
  3322. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:677$3077 in module $paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.
  3323. Removed 2 dead cases from process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:544$3063 in module $paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.
  3324. Marked 3 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:544$3063 in module $paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.
  3325. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:515$3051 in module $paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.
  3326. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:506$3043 in module $paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.
  3327. Marked 9 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:466$3036 in module $paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.
  3328. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:459$3035 in module $paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.
  3329. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:373$3025 in module $paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.
  3330. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:331$3001 in module $paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.
  3331. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:185$2971 in module $paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.
  3332. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:177$2961 in module $paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.
  3333. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:164$2944 in module $paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.
  3334. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:154$2939 in module $paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.
  3335. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_rgb_wb.v:94$2932 in module $paramod$cfcaad11b8c62f8a1e1624a27a246465a59e45e7\ice40_rgb_wb.
  3336. Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1414$2791 in module SB_DFFNES.
  3337. Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1353$2786 in module SB_DFFNESS.
  3338. Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1273$2782 in module SB_DFFNER.
  3339. Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1212$2777 in module SB_DFFNESR.
  3340. Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1138$2774 in module SB_DFFNS.
  3341. Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1088$2771 in module SB_DFFNSS.
  3342. Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1017$2768 in module SB_DFFNR.
  3343. Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:967$2765 in module SB_DFFNSR.
  3344. Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:803$2757 in module SB_DFFES.
  3345. Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:742$2752 in module SB_DFFESS.
  3346. Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:662$2748 in module SB_DFFER.
  3347. Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:601$2743 in module SB_DFFESR.
  3348. Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:527$2740 in module SB_DFFS.
  3349. Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:477$2737 in module SB_DFFSS.
  3350. Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:406$2734 in module SB_DFFR.
  3351. Marked 1 switch rules as full_case in process $proc$/usr/bin/../share/yosys/ice40/cells_sim.v:356$2731 in module SB_DFFSR.
  3352. Marked 5 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387 in module VexRiscv.
  3353. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4288$2381 in module VexRiscv.
  3354. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4274$2380 in module VexRiscv.
  3355. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4246$2369 in module VexRiscv.
  3356. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4239$2368 in module VexRiscv.
  3357. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4232$2367 in module VexRiscv.
  3358. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4224$2366 in module VexRiscv.
  3359. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4217$2365 in module VexRiscv.
  3360. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4208$2364 in module VexRiscv.
  3361. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4199$2363 in module VexRiscv.
  3362. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4190$2362 in module VexRiscv.
  3363. Marked 2 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4117$2295 in module VexRiscv.
  3364. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4108$2292 in module VexRiscv.
  3365. Marked 2 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4099$2291 in module VexRiscv.
  3366. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4077$2287 in module VexRiscv.
  3367. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4063$2286 in module VexRiscv.
  3368. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4049$2281 in module VexRiscv.
  3369. Marked 2 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4029$2268 in module VexRiscv.
  3370. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4022$2267 in module VexRiscv.
  3371. Marked 2 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4013$2263 in module VexRiscv.
  3372. Marked 17 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3963$2258 in module VexRiscv.
  3373. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3950$2256 in module VexRiscv.
  3374. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3939$2255 in module VexRiscv.
  3375. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3932$2254 in module VexRiscv.
  3376. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3925$2253 in module VexRiscv.
  3377. Marked 2 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3914$2249 in module VexRiscv.
  3378. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3900$2245 in module VexRiscv.
  3379. Marked 2 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3890$2244 in module VexRiscv.
  3380. Marked 2 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3880$2243 in module VexRiscv.
  3381. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3864$2237 in module VexRiscv.
  3382. Marked 2 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3808$2229 in module VexRiscv.
  3383. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3773$2227 in module VexRiscv.
  3384. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3758$2224 in module VexRiscv.
  3385. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3679$2220 in module VexRiscv.
  3386. Marked 3 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3667$2213 in module VexRiscv.
  3387. Marked 10 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3631$2204 in module VexRiscv.
  3388. Marked 10 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3603$2202 in module VexRiscv.
  3389. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3523$2194 in module VexRiscv.
  3390. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3506$2193 in module VexRiscv.
  3391. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3441$2190 in module VexRiscv.
  3392. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3427$2189 in module VexRiscv.
  3393. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3413$2185 in module VexRiscv.
  3394. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3404$2183 in module VexRiscv.
  3395. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3350$2167 in module VexRiscv.
  3396. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3283$2160 in module VexRiscv.
  3397. Marked 2 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3272$2159 in module VexRiscv.
  3398. Marked 2 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3262$2156 in module VexRiscv.
  3399. Marked 3 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3248$2155 in module VexRiscv.
  3400. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3228$2150 in module VexRiscv.
  3401. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3213$2149 in module VexRiscv.
  3402. Marked 2 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3200$2138 in module VexRiscv.
  3403. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3183$2134 in module VexRiscv.
  3404. Marked 2 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3173$2133 in module VexRiscv.
  3405. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3106$2116 in module VexRiscv.
  3406. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3061$2109 in module VexRiscv.
  3407. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3025$2105 in module VexRiscv.
  3408. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3002$2090 in module VexRiscv.
  3409. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2991$2086 in module VexRiscv.
  3410. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2980$2082 in module VexRiscv.
  3411. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2970$2081 in module VexRiscv.
  3412. Marked 2 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2958$2078 in module VexRiscv.
  3413. Marked 2 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2946$2076 in module VexRiscv.
  3414. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2939$2075 in module VexRiscv.
  3415. Marked 2 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2928$2073 in module VexRiscv.
  3416. Marked 3 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2901$2066 in module VexRiscv.
  3417. Marked 2 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2891$2065 in module VexRiscv.
  3418. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2882$2063 in module VexRiscv.
  3419. Marked 3 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2869$2061 in module VexRiscv.
  3420. Marked 2 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2855$2060 in module VexRiscv.
  3421. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2847$2059 in module VexRiscv.
  3422. Marked 3 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2832$2058 in module VexRiscv.
  3423. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2825$2057 in module VexRiscv.
  3424. Marked 2 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2815$2056 in module VexRiscv.
  3425. Marked 3 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2802$2046 in module VexRiscv.
  3426. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2795$2045 in module VexRiscv.
  3427. Marked 2 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2784$2044 in module VexRiscv.
  3428. Marked 3 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2771$2036 in module VexRiscv.
  3429. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2764$2035 in module VexRiscv.
  3430. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2756$2034 in module VexRiscv.
  3431. Marked 3 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2743$2024 in module VexRiscv.
  3432. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2736$2021 in module VexRiscv.
  3433. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2726$2020 in module VexRiscv.
  3434. Marked 2 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2716$2019 in module VexRiscv.
  3435. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2707$2018 in module VexRiscv.
  3436. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2700$2017 in module VexRiscv.
  3437. Marked 3 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2652$2007 in module VexRiscv.
  3438. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2644$2005 in module VexRiscv.
  3439. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2636$2003 in module VexRiscv.
  3440. Marked 3 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2599$2002 in module VexRiscv.
  3441. Marked 11 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2568$2000 in module VexRiscv.
  3442. Marked 11 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2538$1998 in module VexRiscv.
  3443. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2525$1997 in module VexRiscv.
  3444. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1765$1977 in module VexRiscv.
  3445. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1713$1970 in module VexRiscv.
  3446. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:264$1773 in module InstructionCache.
  3447. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:219$1752 in module InstructionCache.
  3448. Marked 3 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:203$1747 in module InstructionCache.
  3449. Marked 2 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:194$1745 in module InstructionCache.
  3450. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:186$1744 in module InstructionCache.
  3451. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:179$1743 in module InstructionCache.
  3452. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:167$1734 in module InstructionCache.
  3453. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:155$1725 in module InstructionCache.
  3454. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:307$1657 in module vid_top.
  3455. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:239$1636 in module vid_top.
  3456. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:231$1633 in module vid_top.
  3457. Marked 2 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:200$1628 in module vid_top.
  3458. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:178$1625 in module vid_top.
  3459. Marked 16 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557 in module $paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.
  3460. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:74$4053 in module $paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.
  3461. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:57$4050 in module $paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.
  3462. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:50$4047 in module $paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.
  3463. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:294$3451 in module $paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.
  3464. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:241$3428 in module $paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.
  3465. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:175$3395 in module $paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.
  3466. Marked 7 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:137$3390 in module $paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.
  3467. Marked 1 switch rules as full_case in process $proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:130$3389 in module $paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.
  3468. Removed a total of 9 dead cases.
  3469. 63.3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
  3470. Removed 72 redundant assignments.
  3471. Promoted 785 assignments to connections.
  3472. 63.3.4. Executing PROC_INIT pass (extract init attributes).
  3473. Found init rule in `\SB_DFFNES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2794'.
  3474. Set init value: \Q = 1'0
  3475. Found init rule in `\SB_DFFNESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2790'.
  3476. Set init value: \Q = 1'0
  3477. Found init rule in `\SB_DFFNER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2785'.
  3478. Set init value: \Q = 1'0
  3479. Found init rule in `\SB_DFFNESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2781'.
  3480. Set init value: \Q = 1'0
  3481. Found init rule in `\SB_DFFNS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2776'.
  3482. Set init value: \Q = 1'0
  3483. Found init rule in `\SB_DFFNSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2773'.
  3484. Set init value: \Q = 1'0
  3485. Found init rule in `\SB_DFFNR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2770'.
  3486. Set init value: \Q = 1'0
  3487. Found init rule in `\SB_DFFNSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2767'.
  3488. Set init value: \Q = 1'0
  3489. Found init rule in `\SB_DFFNE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2764'.
  3490. Set init value: \Q = 1'0
  3491. Found init rule in `\SB_DFFN.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2762'.
  3492. Set init value: \Q = 1'0
  3493. Found init rule in `\SB_DFFES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2760'.
  3494. Set init value: \Q = 1'0
  3495. Found init rule in `\SB_DFFESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2756'.
  3496. Set init value: \Q = 1'0
  3497. Found init rule in `\SB_DFFER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2751'.
  3498. Set init value: \Q = 1'0
  3499. Found init rule in `\SB_DFFESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2747'.
  3500. Set init value: \Q = 1'0
  3501. Found init rule in `\SB_DFFS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2742'.
  3502. Set init value: \Q = 1'0
  3503. Found init rule in `\SB_DFFSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2739'.
  3504. Set init value: \Q = 1'0
  3505. Found init rule in `\SB_DFFR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2736'.
  3506. Set init value: \Q = 1'0
  3507. Found init rule in `\SB_DFFSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2733'.
  3508. Set init value: \Q = 1'0
  3509. Found init rule in `\SB_DFFE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2730'.
  3510. Set init value: \Q = 1'0
  3511. Found init rule in `\SB_DFF.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2728'.
  3512. Set init value: \Q = 1'0
  3513. Found init rule in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1161$2551'.
  3514. Set init value: \CsrPlugin_minstret = 64'0000000000000000000000000000000000000000000000000000000000000000
  3515. Found init rule in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1160$2550'.
  3516. Set init value: \CsrPlugin_mcycle = 64'0000000000000000000000000000000000000000000000000000000000000000
  3517. Found init rule in `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:32$4072'.
  3518. Set init value: \rst_cnt = 4'1000
  3519. 63.3.5. Executing PROC_ARST pass (detect async resets in processes).
  3520. Found async reset \rst in `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:503$4617'.
  3521. Found async reset \rst in `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:167$4513'.
  3522. Found async reset \rst in `$paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:58$4440'.
  3523. Found async reset \rst in `$paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:35$4429'.
  3524. Found async reset \rst in `$paramod$cae5572c97b54b153bd196ca558b9ddbfed34bda\uart_rx.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:70$4411'.
  3525. Found async reset \rst in `$paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:116$3982'.
  3526. Found async reset \rst in `$paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:108$3976'.
  3527. Found async reset \rst in `$paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:95$3974'.
  3528. Found async reset \rst in `$paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:83$3965'.
  3529. Found async reset \rst in `$paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:67$3959'.
  3530. Found async reset \rst in `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:179$3945'.
  3531. Found async reset \rst in `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:141$3942'.
  3532. Found async reset \rst in `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:135$3941'.
  3533. Found async reset \rst in `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:121$3936'.
  3534. Found async reset \rst in `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:102$3933'.
  3535. Found async reset \rst in `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:96$3932'.
  3536. Found async reset \rst in `$paramod$8b22e2c4b4d1e8b8ca3524a85d03e9beac836863\fifo_sync_shift.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v:64$3884'.
  3537. Found async reset \rst in `$paramod$8b22e2c4b4d1e8b8ca3524a85d03e9beac836863\fifo_sync_shift.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v:57$3882'.
  3538. Found async reset \rst in `$paramod$dafc3a7f4a5bcc8b3adb4c007b6f574c47147813\fifo_sync_shift.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v:64$3871'.
  3539. Found async reset \rst in `$paramod$dafc3a7f4a5bcc8b3adb4c007b6f574c47147813\fifo_sync_shift.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v:57$3869'.
  3540. Found async reset \rst in `$paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:154$2939'.
  3541. Found async reset \rst in `$paramod$cfcaad11b8c62f8a1e1624a27a246465a59e45e7\ice40_rgb_wb.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_rgb_wb.v:94$2932'.
  3542. Found async reset \S in `\SB_DFFNES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1414$2791'.
  3543. Found async reset \R in `\SB_DFFNER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1273$2782'.
  3544. Found async reset \S in `\SB_DFFNS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1138$2774'.
  3545. Found async reset \R in `\SB_DFFNR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1017$2768'.
  3546. Found async reset \S in `\SB_DFFES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:803$2757'.
  3547. Found async reset \R in `\SB_DFFER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:662$2748'.
  3548. Found async reset \S in `\SB_DFFS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:527$2740'.
  3549. Found async reset \R in `\SB_DFFR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:406$2734'.
  3550. Found async reset \reset in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  3551. Found async reset \reset in `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:264$1773'.
  3552. Found async reset \pll_lock in `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:74$4053'.
  3553. Found async reset \pll_lock in `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:57$4050'.
  3554. Found async reset \pll_lock in `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:50$4047'.
  3555. 63.3.6. Executing PROC_ROM pass (convert switches to ROMs).
  3556. Converted 1 switch.
  3557. <suppressed ~548 debug messages>
  3558. 63.3.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
  3559. Creating decoders for process `$paramod$0e9701ec0ff3618e82c6b3c7773f21bce1c1aa12\ice40_iserdes.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_iserdes.v:0$4334'.
  3560. Creating decoders for process `$paramod$f1ce7771bad0baf70eccc6d92471271ce6f4b9b5\ice40_ebr.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_ebr.v:0$4660'.
  3561. Creating decoders for process `$paramod$f1ce7771bad0baf70eccc6d92471271ce6f4b9b5\ice40_ebr.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_ebr.v:0$4656'.
  3562. Creating decoders for process `$paramod$f1ce7771bad0baf70eccc6d92471271ce6f4b9b5\ice40_ebr.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_ebr.v:0$4652'.
  3563. Creating decoders for process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4639'.
  3564. Creating decoders for process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4634'.
  3565. Creating decoders for process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4631'.
  3566. 1/1: $1$mem2reg_rd$\way_tag$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:344$4512_DATA[11:0]$4633
  3567. Creating decoders for process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4628'.
  3568. 1/1: $1$mem2reg_rd$\way_dirty$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:343$4511_DATA[0:0]$4630
  3569. Creating decoders for process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4625'.
  3570. 1/1: $1$mem2reg_rd$\way_valid$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:342$4510_DATA[0:0]$4627
  3571. Creating decoders for process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:503$4617'.
  3572. 1/2: $0\resp_nak[0:0]
  3573. 2/2: $0\resp_ack[0:0]
  3574. Creating decoders for process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:476$4615'.
  3575. 1/5: $1\dm_we[0:0]
  3576. 2/5: $1\dm_wmsk[3:0]
  3577. 3/5: $1\dm_wdata[31:0]
  3578. 4/5: $1\dm_re[0:0]
  3579. 5/5: $1\dm_addr[13:0]
  3580. Creating decoders for process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:441$4602'.
  3581. Creating decoders for process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:396$4577'.
  3582. 1/25: $6\way_age_nxt[3][1:0]
  3583. 2/25: $5\way_age_nxt[3][1:0]
  3584. 3/25: $6\way_age_nxt[2][1:0]
  3585. 4/25: $5\way_age_nxt[2][1:0]
  3586. 5/25: $6\way_age_nxt[1][1:0]
  3587. 6/25: $5\way_age_nxt[1][1:0]
  3588. 7/25: $6\way_age_nxt[0][1:0]
  3589. 8/25: $5\way_age_nxt[0][1:0]
  3590. 9/25: $4\way_age_nxt[3][1:0]
  3591. 10/25: $3\way_age_nxt[3][1:0]
  3592. 11/25: $2\way_age_nxt[3][1:0]
  3593. 12/25: $4\way_age_nxt[2][1:0]
  3594. 13/25: $3\way_age_nxt[2][1:0]
  3595. 14/25: $2\way_age_nxt[2][1:0]
  3596. 15/25: $4\way_age_nxt[1][1:0]
  3597. 16/25: $3\way_age_nxt[1][1:0]
  3598. 17/25: $2\way_age_nxt[1][1:0]
  3599. 18/25: $4\way_age_nxt[0][1:0]
  3600. 19/25: $3\way_age_nxt[0][1:0]
  3601. 20/25: $2\way_age_nxt[0][1:0]
  3602. 21/25: $1\age_next.w[31:0]
  3603. 22/25: $1\way_age_nxt[3][1:0]
  3604. 23/25: $1\way_age_nxt[2][1:0]
  3605. 24/25: $1\way_age_nxt[1][1:0]
  3606. 25/25: $1\way_age_nxt[0][1:0]
  3607. Creating decoders for process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:364$4560'.
  3608. 1/17: $1\dirty_next.w[31:0]
  3609. 2/17: $1\way_dirty_we[3][0:0]
  3610. 3/17: $1\way_dirty_nxt[3][0:0]
  3611. 4/17: $1\way_valid_we[3][0:0]
  3612. 5/17: $1\way_valid_nxt[3][0:0]
  3613. 6/17: $1\way_dirty_we[2][0:0]
  3614. 7/17: $1\way_dirty_nxt[2][0:0]
  3615. 8/17: $1\way_valid_we[2][0:0]
  3616. 9/17: $1\way_valid_nxt[2][0:0]
  3617. 10/17: $1\way_dirty_we[1][0:0]
  3618. 11/17: $1\way_dirty_nxt[1][0:0]
  3619. 12/17: $1\way_valid_we[1][0:0]
  3620. 13/17: $1\way_valid_nxt[1][0:0]
  3621. 14/17: $1\way_dirty_we[0][0:0]
  3622. 15/17: $1\way_dirty_nxt[0][0:0]
  3623. 16/17: $1\way_valid_we[0][0:0]
  3624. 17/17: $1\way_valid_nxt[0][0:0]
  3625. Creating decoders for process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:347$4556'.
  3626. 1/3: $0\ev_tag_r[11:0]
  3627. 2/3: $0\ev_valid_r[0:0]
  3628. 3/3: $0\ev_way_r[1:0]
  3629. Creating decoders for process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:330$4546'.
  3630. 1/3: $3\ev_way[1:0]
  3631. 2/3: $2\ev_way[1:0]
  3632. 3/3: $1\ev_way[1:0]
  3633. Creating decoders for process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:309$4541'.
  3634. 1/3: $3\lu_hit_way[1:0]
  3635. 2/3: $2\lu_hit_way[1:0]
  3636. 3/3: $1\lu_hit_way[1:0]
  3637. Creating decoders for process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:237$4532'.
  3638. 1/1: $0\req_addr[23:0]
  3639. Creating decoders for process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:220$4524'.
  3640. 1/1: $0\cnt_ofs[2:0]
  3641. Creating decoders for process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:174$4514'.
  3642. 1/5: $5\ctrl_state_nxt[1:0]
  3643. 2/5: $4\ctrl_state_nxt[1:0]
  3644. 3/5: $3\ctrl_state_nxt[1:0]
  3645. 4/5: $2\ctrl_state_nxt[1:0]
  3646. 5/5: $1\ctrl_state_nxt[1:0]
  3647. Creating decoders for process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:167$4513'.
  3648. 1/1: $0\ctrl_state[1:0]
  3649. Creating decoders for process `$paramod$1becf43d58396d216852697b52a37807eb7ec9e3\delay_bus.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/delay.v:63$4445'.
  3650. Creating decoders for process `$paramod$1becf43d58396d216852697b52a37807eb7ec9e3\delay_bus.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/delay.v:63$4444'.
  3651. Creating decoders for process `$paramod$1becf43d58396d216852697b52a37807eb7ec9e3\delay_bus.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/delay.v:63$4443'.
  3652. Creating decoders for process `$paramod$1becf43d58396d216852697b52a37807eb7ec9e3\delay_bus.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/delay.v:58$4442'.
  3653. Creating decoders for process `$paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:67$4441'.
  3654. Creating decoders for process `$paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:58$4440'.
  3655. 1/1: $0\shift[9:0]
  3656. Creating decoders for process `$paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:51$4437'.
  3657. 1/1: $0\bit_cnt[4:0]
  3658. Creating decoders for process `$paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:42$4433'.
  3659. 1/1: $0\div_cnt[12:0]
  3660. Creating decoders for process `$paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:35$4429'.
  3661. 1/1: $0\active[0:0]
  3662. Creating decoders for process `$paramod$cae5572c97b54b153bd196ca558b9ddbfed34bda\uart_rx.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:102$4423'.
  3663. Creating decoders for process `$paramod$cae5572c97b54b153bd196ca558b9ddbfed34bda\uart_rx.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:95$4422'.
  3664. 1/1: $0\shift[8:0]
  3665. Creating decoders for process `$paramod$cae5572c97b54b153bd196ca558b9ddbfed34bda\uart_rx.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:88$4419'.
  3666. 1/1: $0\bit_cnt[4:0]
  3667. Creating decoders for process `$paramod$cae5572c97b54b153bd196ca558b9ddbfed34bda\uart_rx.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:77$4415'.
  3668. 1/1: $0\div_cnt[12:0]
  3669. Creating decoders for process `$paramod$cae5572c97b54b153bd196ca558b9ddbfed34bda\uart_rx.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:70$4411'.
  3670. 1/1: $0\active[0:0]
  3671. Creating decoders for process `$paramod$3ebcb2129805027011086c045e6bb4ce235a9e88\ram_sdp.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v:39$4400'.
  3672. 1/4: $1$memwr$\ram$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v:47$4399_EN[7:0]$4407
  3673. 2/4: $1$memwr$\ram$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v:47$4399_DATA[7:0]$4406
  3674. 3/4: $1$memwr$\ram$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v:47$4399_ADDR[8:0]$4405
  3675. 4/4: $0\rd_data[7:0]
  3676. Creating decoders for process `$paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:116$3982'.
  3677. 1/1: $0\rd_valid[0:0]
  3678. Creating decoders for process `$paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:108$3976'.
  3679. 1/1: $0\ram_rd_addr[8:0]
  3680. Creating decoders for process `$paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:95$3974'.
  3681. 1/1: $0\ram_wr_addr[8:0]
  3682. Creating decoders for process `$paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:83$3965'.
  3683. 1/1: $0\full[0:0]
  3684. Creating decoders for process `$paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:67$3959'.
  3685. 1/1: $0\level[9:0]
  3686. Creating decoders for process `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:179$3945'.
  3687. 1/7: $0\vid_v_last[0:0]
  3688. 2/7: $0\vid_v_first[0:0]
  3689. 3/7: $0\vid_h_last[0:0]
  3690. 4/7: $0\vid_h_first[0:0]
  3691. 5/7: $0\vid_active[0:0]
  3692. 6/7: $0\vid_vsync[0:0]
  3693. 7/7: $0\vid_hsync[0:0]
  3694. Creating decoders for process `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:147$3944'.
  3695. 1/2: $2\v_mux[9:0]
  3696. 2/2: $1\v_mux[9:0]
  3697. Creating decoders for process `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:141$3942'.
  3698. 1/1: $0\v_zone[1:0]
  3699. Creating decoders for process `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:135$3941'.
  3700. 1/1: $0\v_first[0:0]
  3701. Creating decoders for process `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:132$3940'.
  3702. Creating decoders for process `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:121$3936'.
  3703. 1/1: $0\h_cnt[10:0]
  3704. Creating decoders for process `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:108$3935'.
  3705. 1/2: $2\h_mux[10:0]
  3706. 2/2: $1\h_mux[10:0]
  3707. Creating decoders for process `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:102$3933'.
  3708. 1/1: $0\h_zone[1:0]
  3709. Creating decoders for process `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:96$3932'.
  3710. 1/1: $0\h_first[0:0]
  3711. Creating decoders for process `$paramod\delay_bit\DELAY=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/delay.v:30$3930'.
  3712. Creating decoders for process `$paramod$8b22e2c4b4d1e8b8ca3524a85d03e9beac836863\fifo_sync_shift.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v:0$3893'.
  3713. Creating decoders for process `$paramod$8b22e2c4b4d1e8b8ca3524a85d03e9beac836863\fifo_sync_shift.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v:64$3884'.
  3714. 1/1: $0\stage[1].l_valid[0:0]
  3715. Creating decoders for process `$paramod$8b22e2c4b4d1e8b8ca3524a85d03e9beac836863\fifo_sync_shift.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v:57$3882'.
  3716. 1/1: $0\stage[1].l_data[35:0]
  3717. Creating decoders for process `$paramod$dafc3a7f4a5bcc8b3adb4c007b6f574c47147813\fifo_sync_shift.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v:0$3880'.
  3718. Creating decoders for process `$paramod$dafc3a7f4a5bcc8b3adb4c007b6f574c47147813\fifo_sync_shift.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v:64$3871'.
  3719. 1/1: $0\stage[1].l_valid[0:0]
  3720. Creating decoders for process `$paramod$dafc3a7f4a5bcc8b3adb4c007b6f574c47147813\fifo_sync_shift.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v:57$3869'.
  3721. 1/1: $0\stage[1].l_data[31:0]
  3722. Creating decoders for process `$paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/glitch_filter.v:53$3514'.
  3723. Creating decoders for process `$paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/glitch_filter.v:95$3509'.
  3724. 1/2: $0\fall[0:0]
  3725. 2/2: $0\rise[0:0]
  3726. Creating decoders for process `$paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/glitch_filter.v:80$3505'.
  3727. 1/1: $0\state[0:0]
  3728. Creating decoders for process `$paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/glitch_filter.v:70$3501'.
  3729. 1/1: $0\cnt[1:0]
  3730. Creating decoders for process `$paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/glitch_filter.v:60$3493'.
  3731. 1/2: $2\cnt_move[1:0]
  3732. 2/2: $1\cnt_move[1:0]
  3733. Creating decoders for process `\top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/top.v:0$3492'.
  3734. Creating decoders for process `$paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:31$3353'.
  3735. 1/12: $1$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:36$3352_EN[31:0]$3386
  3736. 2/12: $1$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:36$3352_DATA[31:0]$3385
  3737. 3/12: $1$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:36$3352_ADDR[7:0]$3384
  3738. 4/12: $1$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:35$3351_EN[31:0]$3381
  3739. 5/12: $1$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:35$3351_DATA[31:0]$3380
  3740. 6/12: $1$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:35$3351_ADDR[7:0]$3379
  3741. 7/12: $1$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:34$3350_EN[31:0]$3376
  3742. 8/12: $1$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:34$3350_DATA[31:0]$3375
  3743. 9/12: $1$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:34$3350_ADDR[7:0]$3374
  3744. 10/12: $1$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:33$3349_EN[31:0]$3371
  3745. 11/12: $1$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:33$3349_DATA[31:0]$3370
  3746. 12/12: $1$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:33$3349_ADDR[7:0]$3369
  3747. Creating decoders for process `$paramod$8cc0a9cf304a487149b7985624e92ee239a362b6\mc_tag_ram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_tag_ram.v:0$4452'.
  3748. Creating decoders for process `$paramod$8cc0a9cf304a487149b7985624e92ee239a362b6\mc_tag_ram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_tag_ram.v:114$4450'.
  3749. Creating decoders for process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:0$3212'.
  3750. Creating decoders for process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:615$3181'.
  3751. 1/12: $5$lookahead\phy_cs_o$3180[1:0]$3211
  3752. 2/12: $4$lookahead\phy_cs_o$3180[1:0]$3202
  3753. 3/12: $4$bitselwrite$pos$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:627$2979[1:0]$3201
  3754. 4/12: $3$lookahead\phy_cs_o$3180[1:0]$3193
  3755. 5/12: $3$bitselwrite$pos$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:625$2978[1:0]$3191
  3756. 6/12: $3$bitselwrite$pos$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:627$2979[1:0]$3192
  3757. 7/12: $2$lookahead\phy_cs_o$3180[1:0]$3190
  3758. 8/12: $2$bitselwrite$pos$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:627$2979[1:0]$3189
  3759. 9/12: $2$bitselwrite$pos$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:625$2978[1:0]$3188
  3760. 10/12: $1$lookahead\phy_cs_o$3180[1:0]$3187
  3761. 11/12: $1$bitselwrite$pos$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:627$2979[1:0]$3186
  3762. 12/12: $1$bitselwrite$pos$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:625$2978[1:0]$3185
  3763. Creating decoders for process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:773$3158'.
  3764. 1/29: $1\phy2shift_spi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:781$2987.$result[31:0]$3175 [31:4]
  3765. 2/29: $1\phy2shift_spi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:781$2987.$result[31:0]$3175 [3:0]
  3766. 3/29: $0\si_data_n[31:0]
  3767. 4/29: $1\phy2shift_qpi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:779$2986.chan[31:0]$3172
  3768. 5/29: $1\phy2shift_qpi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:779$2986.t[31:0]$3173
  3769. 6/29: $1\phy2shift_qpi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:779$2986.io[31:0]$3174
  3770. 7/29: $1\phy2shift_qpi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:779$2986.$result[31:0]$3169 [14]
  3771. 8/29: $1\phy2shift_qpi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:779$2986.$result[31:0]$3169 [13]
  3772. 9/29: $1\phy2shift_qpi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:779$2986.$result[31:0]$3169 [12]
  3773. 10/29: $1\phy2shift_qpi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:779$2986.$result[31:0]$3169 [11]
  3774. 11/29: $1\phy2shift_qpi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:779$2986.$result[31:0]$3169 [10]
  3775. 12/29: $1\phy2shift_qpi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:779$2986.$result[31:0]$3169 [9]
  3776. 13/29: $1\phy2shift_qpi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:779$2986.$result[31:0]$3169 [8]
  3777. 14/29: $1\phy2shift_qpi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:779$2986.$result[31:0]$3169 [7]
  3778. 15/29: $1\phy2shift_qpi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:779$2986.$result[31:0]$3169 [6]
  3779. 16/29: $1\phy2shift_qpi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:779$2986.$result[31:0]$3169 [5]
  3780. 17/29: $1\phy2shift_qpi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:779$2986.$result[31:0]$3169 [4]
  3781. 18/29: $1\phy2shift_qpi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:779$2986.$result[31:0]$3169 [3]
  3782. 19/29: $1\phy2shift_qpi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:779$2986.$result[31:0]$3169 [2]
  3783. 20/29: $1\phy2shift_qpi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:779$2986.$result[31:0]$3169 [1]
  3784. 21/29: $1\phy2shift_qpi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:779$2986.$result[31:0]$3169 [0]
  3785. 22/29: $2\phy2shift_qpi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:779$2986.$result[31:16]$3179
  3786. 23/29: $1\phy2shift_qpi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:779$2986.$result[31:0]$3169 [15]
  3787. 24/29: $1\phy2shift_qpi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:779$2986.phy[15:0]$3171
  3788. 25/29: $1\phy2shift_qpi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:779$2986.prev[31:0]$3170
  3789. 26/29: $1\phy2shift_spi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:781$2987.chan[31:0]$3178
  3790. 27/29: $1\phy2shift_spi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:781$2987.phy[15:0]$3177
  3791. 28/29: $1\phy2shift_spi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:781$2987.prev[31:0]$3176
  3792. 29/29: $1\phy2shift_qpi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:779$2986.$result[31:0]$3169 [31:16]
  3793. Creating decoders for process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:758$3151'.
  3794. 1/1: $0\si_dst_1[1:0]
  3795. Creating decoders for process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:714$3105'.
  3796. 1/56: $1\shift2phy_spi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:748$2985.$result[15:0]$3147 [15:4]
  3797. 2/56: $1\shift2phy_spi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:748$2985.chan[31:0]$3150
  3798. 3/56: $2\phy_io_o[15:0]
  3799. 4/56: $1\shift2phy_spi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:748$2985.$result[15:0]$3147 [3:0]
  3800. 5/56: $1\shift2phy_spi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:748$2985.base[15:0]$3149
  3801. 6/56: $1\shift2phy_spi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:748$2985.shift[31:0]$3148
  3802. 7/56: $1\shift2phy_qpi_data$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:745$2984.$result[15:0]$3141 [15]
  3803. 8/56: $1\shift2phy_qpi_data$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:745$2984.$result[15:0]$3141 [14]
  3804. 9/56: $1\shift2phy_qpi_data$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:745$2984.$result[15:0]$3141 [10]
  3805. 10/56: $1\shift2phy_qpi_data$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:745$2984.$result[15:0]$3141 [6]
  3806. 11/56: $1\shift2phy_qpi_data$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:745$2984.$result[15:0]$3141 [2]
  3807. 12/56: $1\shift2phy_qpi_data$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:745$2984.$result[15:0]$3141 [13]
  3808. 13/56: $1\shift2phy_qpi_data$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:745$2984.$result[15:0]$3141 [9]
  3809. 14/56: $1\shift2phy_qpi_data$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:745$2984.$result[15:0]$3141 [5]
  3810. 15/56: $1\shift2phy_qpi_data$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:745$2984.$result[15:0]$3141 [1]
  3811. 16/56: $1\shift2phy_qpi_data$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:745$2984.$result[15:0]$3141 [12]
  3812. 17/56: $1\shift2phy_qpi_data$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:745$2984.$result[15:0]$3141 [3]
  3813. 18/56: $1\shift2phy_qpi_data$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:745$2984.$result[15:0]$3141 [8]
  3814. 19/56: $1\shift2phy_qpi_data$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:745$2984.$result[15:0]$3141 [11]
  3815. 20/56: $1\shift2phy_qpi_data$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:745$2984.$result[15:0]$3141 [4]
  3816. 21/56: $1\shift2phy_qpi_data$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:745$2984.$result[15:0]$3141 [7]
  3817. 22/56: $1\shift2phy_qpi_data$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:745$2984.$result[15:0]$3141 [0]
  3818. 23/56: $1\shift2phy_qpi_cmd$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:743$2983.chan[31:0]$3138
  3819. 24/56: $1\shift2phy_qpi_cmd$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:743$2983.t[31:0]$3140
  3820. 25/56: $1\shift2phy_qpi_cmd$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:743$2983.io[31:0]$3139
  3821. 26/56: $1\shift2phy_qpi_cmd$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:743$2983.$result[15:0]$3136 [14]
  3822. 27/56: $1\shift2phy_qpi_cmd$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:743$2983.$result[15:0]$3136 [10]
  3823. 28/56: $1\shift2phy_qpi_cmd$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:743$2983.$result[15:0]$3136 [6]
  3824. 29/56: $1\shift2phy_qpi_cmd$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:743$2983.$result[15:0]$3136 [2]
  3825. 30/56: $1\shift2phy_qpi_cmd$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:743$2983.$result[15:0]$3136 [13]
  3826. 31/56: $1\shift2phy_qpi_cmd$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:743$2983.$result[15:0]$3136 [9]
  3827. 32/56: $1\shift2phy_qpi_cmd$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:743$2983.$result[15:0]$3136 [5]
  3828. 33/56: $1\shift2phy_qpi_cmd$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:743$2983.$result[15:0]$3136 [1]
  3829. 34/56: $1\shift2phy_qpi_cmd$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:743$2983.$result[15:0]$3136 [12]
  3830. 35/56: $1\shift2phy_qpi_cmd$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:743$2983.$result[15:0]$3136 [8]
  3831. 36/56: $1\shift2phy_qpi_cmd$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:743$2983.$result[15:0]$3136 [4]
  3832. 37/56: $1\shift2phy_qpi_cmd$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:743$2983.$result[15:0]$3136 [0]
  3833. 38/56: $1\shift2phy_qpi_cmd$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:743$2983.$result[15:0]$3136 [11]
  3834. 39/56: $1\shift2phy_qpi_cmd$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:743$2983.$result[15:0]$3136 [7]
  3835. 40/56: $1\shift2phy_qpi_cmd$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:743$2983.$result[15:0]$3136 [3]
  3836. 41/56: $1\phy_io_o[15:0]
  3837. 42/56: $1\shift2phy_qpi_cmd$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:743$2983.shift[31:0]$3137
  3838. 43/56: $1\shift2phy_qpi_data$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:745$2984.t[31:0]$3145
  3839. 44/56: $1\shift2phy_qpi_data$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:745$2984.io[31:0]$3144
  3840. 45/56: $1\shift2phy_qpi_data$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:745$2984.chan[31:0]$3143
  3841. 46/56: $1\shift2phy_qpi_data$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:745$2984.shift[31:0]$3142
  3842. 47/56: $1\shift2phy_qpi_cmd$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:743$2983.$result[15:0]$3136 [15]
  3843. 48/56: $2\phy_io_oe[3:0]
  3844. 49/56: $2\io_ctrl.i[31:0]
  3845. 50/56: $2\phy_clk_o[3:0] [3]
  3846. 51/56: $2\phy_clk_o[3:0] [2]
  3847. 52/56: $2\phy_clk_o[3:0] [1]
  3848. 53/56: $2\phy_clk_o[3:0] [0]
  3849. 54/56: $1\phy_io_oe[3:0]
  3850. 55/56: $1\io_ctrl.i[31:0]
  3851. 56/56: $1\phy_clk_o[3:0]
  3852. Creating decoders for process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:700$3085'.
  3853. 1/12: $2\shift_qpi_cmd$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:705$2982.$result[31:0]$3104
  3854. 2/12: $2\shift_qpi_data$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:704$2981.chan[31:0]$3103
  3855. 3/12: $2\shift_qpi_data$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:704$2981.$result[31:0]$3102
  3856. 4/12: $0\so_data[31:0]
  3857. 5/12: $1\shift_spi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:703$2980.chan[31:0]$3096
  3858. 6/12: $1\shift_spi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:703$2980.$result[31:0]$3094
  3859. 7/12: $1\shift_spi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:703$2980.shift[31:0]$3095
  3860. 8/12: $1\shift_qpi_cmd$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:705$2982.shift[31:0]$3101
  3861. 9/12: $1\shift_qpi_cmd$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:705$2982.$result[31:0]$3100
  3862. 10/12: $1\shift_qpi_data$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:704$2981.chan[31:0]$3099
  3863. 11/12: $1\shift_qpi_data$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:704$2981.shift[31:0]$3098
  3864. 12/12: $1\shift_qpi_data$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:704$2981.$result[31:0]$3097
  3865. Creating decoders for process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:691$3083'.
  3866. 1/1: $0\so_cnt[5:0]
  3867. Creating decoders for process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:684$3082'.
  3868. 1/2: $0\so_dst[1:0]
  3869. 2/2: $0\so_mode[1:0]
  3870. Creating decoders for process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:677$3077'.
  3871. 1/1: $0\so_valid[0:0]
  3872. Creating decoders for process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:544$3063'.
  3873. 1/9: $2$mem2reg_rd$\cmd_len_rom$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:570$2988_DATA[5:0]$3069
  3874. 2/9: { $2\so_ld_mode[1:0] $2\so_ld_dst[1:0] }
  3875. 3/9: $1\so_ld_src[1:0]
  3876. 4/9: $1\so_ld_cnt[5:0]
  3877. 5/9: $1\so_ld_dst[1:0]
  3878. 6/9: $1\so_ld_mode[1:0]
  3879. 7/9: $1\so_ld_valid[0:0]
  3880. 8/9: $1$mem2reg_rd$\cmd_len_rom$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:570$2988_DATA[5:0]$3067
  3881. 9/9: $1$mem2reg_rd$\cmd_len_rom$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:570$2988_ADDR[3:0]$3066
  3882. Creating decoders for process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:515$3051'.
  3883. 1/1: $0\pause_cnt[3:0]
  3884. Creating decoders for process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:506$3043'.
  3885. 1/1: $0\xfer_cnt[7:0]
  3886. Creating decoders for process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:466$3036'.
  3887. 1/9: $9\state_nxt[2:0]
  3888. 2/9: $8\state_nxt[2:0]
  3889. 3/9: $7\state_nxt[2:0]
  3890. 4/9: $6\state_nxt[2:0]
  3891. 5/9: $5\state_nxt[2:0]
  3892. 6/9: $4\state_nxt[2:0]
  3893. 7/9: $3\state_nxt[2:0]
  3894. 8/9: $2\state_nxt[2:0]
  3895. 9/9: $1\state_nxt[2:0]
  3896. Creating decoders for process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:459$3035'.
  3897. 1/1: $0\state[2:0]
  3898. Creating decoders for process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:447$3029'.
  3899. Creating decoders for process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:373$3025'.
  3900. 1/1: $0\wb_rdata[31:0]
  3901. Creating decoders for process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:363$3017'.
  3902. Creating decoders for process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:359$3010'.
  3903. Creating decoders for process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:344$3008'.
  3904. Creating decoders for process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:337$3005'.
  3905. 1/1: $0\ectl_cs[1:0]
  3906. Creating decoders for process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:331$3001'.
  3907. 1/1: $0\ectl_req[0:0]
  3908. Creating decoders for process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:314$2989'.
  3909. 1/1: $0\wb_ack[0:0]
  3910. Creating decoders for process `$paramod$9a9e2282df54acafd6229f692355382480e5c2ad\qpi_phy_ice40_4x.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_phy_ice40_4x.v:163$2977'.
  3911. Creating decoders for process `$paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:193$2973'.
  3912. 1/1: $0\uart_div[11:0]
  3913. Creating decoders for process `$paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:185$2971'.
  3914. 1/1: $0\ub_rdata[31:0]
  3915. Creating decoders for process `$paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:177$2961'.
  3916. 1/1: $0\ub_ack[0:0]
  3917. Creating decoders for process `$paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:164$2944'.
  3918. 1/4: $0\ub_wr_div[0:0]
  3919. 2/4: $0\ub_wr_data[0:0]
  3920. 3/4: $0\ub_rd_ctrl[0:0]
  3921. 4/4: $0\ub_rd_data[0:0]
  3922. Creating decoders for process `$paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:154$2939'.
  3923. 1/1: $0\urf_overflow[0:0]
  3924. Creating decoders for process `$paramod$cfcaad11b8c62f8a1e1624a27a246465a59e45e7\ice40_rgb_wb.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_rgb_wb.v:94$2932'.
  3925. 1/1: $0\led_ctrl[4:0]
  3926. Creating decoders for process `\SB_DFFNES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2794'.
  3927. Creating decoders for process `\SB_DFFNES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1414$2791'.
  3928. 1/1: $0\Q[0:0]
  3929. Creating decoders for process `\SB_DFFNESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2790'.
  3930. Creating decoders for process `\SB_DFFNESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1353$2786'.
  3931. 1/1: $0\Q[0:0]
  3932. Creating decoders for process `\SB_DFFNER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2785'.
  3933. Creating decoders for process `\SB_DFFNER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1273$2782'.
  3934. 1/1: $0\Q[0:0]
  3935. Creating decoders for process `\SB_DFFNESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2781'.
  3936. Creating decoders for process `\SB_DFFNESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1212$2777'.
  3937. 1/1: $0\Q[0:0]
  3938. Creating decoders for process `\SB_DFFNS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2776'.
  3939. Creating decoders for process `\SB_DFFNS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1138$2774'.
  3940. 1/1: $0\Q[0:0]
  3941. Creating decoders for process `\SB_DFFNSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2773'.
  3942. Creating decoders for process `\SB_DFFNSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1088$2771'.
  3943. 1/1: $0\Q[0:0]
  3944. Creating decoders for process `\SB_DFFNR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2770'.
  3945. Creating decoders for process `\SB_DFFNR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1017$2768'.
  3946. 1/1: $0\Q[0:0]
  3947. Creating decoders for process `\SB_DFFNSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2767'.
  3948. Creating decoders for process `\SB_DFFNSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:967$2765'.
  3949. 1/1: $0\Q[0:0]
  3950. Creating decoders for process `\SB_DFFNE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2764'.
  3951. Creating decoders for process `\SB_DFFNE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:922$2763'.
  3952. 1/1: $0\Q[0:0]
  3953. Creating decoders for process `\SB_DFFN.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2762'.
  3954. Creating decoders for process `\SB_DFFN.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:882$2761'.
  3955. Creating decoders for process `\SB_DFFES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2760'.
  3956. Creating decoders for process `\SB_DFFES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:803$2757'.
  3957. 1/1: $0\Q[0:0]
  3958. Creating decoders for process `\SB_DFFESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2756'.
  3959. Creating decoders for process `\SB_DFFESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:742$2752'.
  3960. 1/1: $0\Q[0:0]
  3961. Creating decoders for process `\SB_DFFER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2751'.
  3962. Creating decoders for process `\SB_DFFER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:662$2748'.
  3963. 1/1: $0\Q[0:0]
  3964. Creating decoders for process `\SB_DFFESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2747'.
  3965. Creating decoders for process `\SB_DFFESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:601$2743'.
  3966. 1/1: $0\Q[0:0]
  3967. Creating decoders for process `\SB_DFFS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2742'.
  3968. Creating decoders for process `\SB_DFFS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:527$2740'.
  3969. 1/1: $0\Q[0:0]
  3970. Creating decoders for process `\SB_DFFSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2739'.
  3971. Creating decoders for process `\SB_DFFSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:477$2737'.
  3972. 1/1: $0\Q[0:0]
  3973. Creating decoders for process `\SB_DFFR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2736'.
  3974. Creating decoders for process `\SB_DFFR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:406$2734'.
  3975. 1/1: $0\Q[0:0]
  3976. Creating decoders for process `\SB_DFFSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2733'.
  3977. Creating decoders for process `\SB_DFFSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:356$2731'.
  3978. 1/1: $0\Q[0:0]
  3979. Creating decoders for process `\SB_DFFE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2730'.
  3980. Creating decoders for process `\SB_DFFE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:311$2729'.
  3981. 1/1: $0\Q[0:0]
  3982. Creating decoders for process `\SB_DFF.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2728'.
  3983. Creating decoders for process `\SB_DFF.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:271$2727'.
  3984. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1161$2551'.
  3985. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1160$2550'.
  3986. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  3987. 1/104: $0\memory_DivPlugin_rs1[32:0] [32]
  3988. 2/104: $0\memory_DivPlugin_accumulator[64:0] [31:0]
  3989. 3/104: $0\memory_DivPlugin_accumulator[64:0] [64:32]
  3990. 4/104: $0\dBus_cmd_halfPipe_regs_payload_size[1:0]
  3991. 5/104: $0\dBus_cmd_halfPipe_regs_payload_data[31:0]
  3992. 6/104: $0\dBus_cmd_halfPipe_regs_payload_address[31:0]
  3993. 7/104: $0\dBus_cmd_halfPipe_regs_payload_wr[0:0]
  3994. 8/104: $0\execute_CsrPlugin_csr_4032[0:0]
  3995. 9/104: $0\execute_CsrPlugin_csr_3008[0:0]
  3996. 10/104: $0\execute_CsrPlugin_csr_835[0:0]
  3997. 11/104: $0\execute_CsrPlugin_csr_834[0:0]
  3998. 12/104: $0\execute_CsrPlugin_csr_833[0:0]
  3999. 13/104: $0\execute_CsrPlugin_csr_773[0:0]
  4000. 14/104: $0\execute_CsrPlugin_csr_772[0:0]
  4001. 15/104: $0\execute_CsrPlugin_csr_836[0:0]
  4002. 16/104: $0\execute_CsrPlugin_csr_768[0:0]
  4003. 17/104: $0\execute_CsrPlugin_csr_1984[0:0]
  4004. 18/104: $0\memory_to_writeBack_MEMORY_READ_DATA[31:0]
  4005. 19/104: $0\execute_to_memory_MUL_LH[33:0]
  4006. 20/104: $0\decode_to_execute_SRC2_CTRL[1:0]
  4007. 21/104: $0\decode_to_execute_IS_RS2_SIGNED[0:0]
  4008. 22/104: $0\decode_to_execute_IS_RS1_SIGNED[0:0]
  4009. 23/104: $0\memory_to_writeBack_MEMORY_ADDRESS_LOW[1:0]
  4010. 24/104: $0\execute_to_memory_MEMORY_ADDRESS_LOW[1:0]
  4011. 25/104: $0\decode_to_execute_SRC1_CTRL[1:0]
  4012. 26/104: $0\decode_to_execute_RS2[31:0]
  4013. 27/104: $0\execute_to_memory_MMU_FAULT[0:0]
  4014. 28/104: $0\execute_to_memory_BRANCH_DO[0:0]
  4015. 29/104: $0\memory_to_writeBack_MUL_LOW[51:0]
  4016. 30/104: $0\decode_to_execute_BYPASSABLE_EXECUTE_STAGE[0:0]
  4017. 31/104: $0\memory_to_writeBack_ENV_CTRL[1:0]
  4018. 32/104: $0\execute_to_memory_ENV_CTRL[1:0]
  4019. 33/104: $0\decode_to_execute_ENV_CTRL[1:0]
  4020. 34/104: $0\execute_to_memory_BRANCH_CALC[31:0]
  4021. 35/104: $0\decode_to_execute_IS_CSR[0:0]
  4022. 36/104: $0\execute_to_memory_REGFILE_WRITE_DATA[31:0]
  4023. 37/104: $0\execute_to_memory_BYPASSABLE_MEMORY_STAGE[0:0]
  4024. 38/104: $0\decode_to_execute_BYPASSABLE_MEMORY_STAGE[0:0]
  4025. 39/104: $0\decode_to_execute_ALU_CTRL[1:0]
  4026. 40/104: $0\decode_to_execute_SRC_LESS_UNSIGNED[0:0]
  4027. 41/104: $0\decode_to_execute_CSR_WRITE_OPCODE[0:0]
  4028. 42/104: $0\memory_to_writeBack_PC[31:0]
  4029. 43/104: $0\execute_to_memory_PC[31:0]
  4030. 44/104: $0\decode_to_execute_PC[31:0]
  4031. 45/104: $0\memory_to_writeBack_REGFILE_WRITE_VALID[0:0]
  4032. 46/104: $0\execute_to_memory_REGFILE_WRITE_VALID[0:0]
  4033. 47/104: $0\decode_to_execute_REGFILE_WRITE_VALID[0:0]
  4034. 48/104: $0\execute_to_memory_MUL_HL[33:0]
  4035. 49/104: $0\execute_to_memory_IS_DIV[0:0]
  4036. 50/104: $0\decode_to_execute_IS_DIV[0:0]
  4037. 51/104: $0\decode_to_execute_ALU_BITWISE_CTRL[1:0]
  4038. 52/104: $0\execute_to_memory_SHIFT_CTRL[1:0]
  4039. 53/104: $0\decode_to_execute_SHIFT_CTRL[1:0]
  4040. 54/104: $0\memory_to_writeBack_FORMAL_PC_NEXT[31:0]
  4041. 55/104: $0\execute_to_memory_FORMAL_PC_NEXT[31:0]
  4042. 56/104: $0\decode_to_execute_FORMAL_PC_NEXT[31:0]
  4043. 57/104: $0\execute_to_memory_SHIFT_RIGHT[31:0]
  4044. 58/104: $0\execute_to_memory_INSTRUCTION[31:0]
  4045. 59/104: $0\decode_to_execute_INSTRUCTION[31:0]
  4046. 60/104: $0\decode_to_execute_BRANCH_CTRL[1:0]
  4047. 61/104: $0\decode_to_execute_SRC_USE_SUB_LESS[0:0]
  4048. 62/104: $0\execute_to_memory_MMU_RSP_refilling[0:0]
  4049. 63/104: $0\execute_to_memory_MMU_RSP_exception[0:0]
  4050. 64/104: $0\execute_to_memory_MMU_RSP_allowExecute[0:0]
  4051. 65/104: $0\execute_to_memory_MMU_RSP_allowWrite[0:0]
  4052. 66/104: $0\execute_to_memory_MMU_RSP_allowRead[0:0]
  4053. 67/104: $0\execute_to_memory_MMU_RSP_isIoAccess[0:0]
  4054. 68/104: $0\execute_to_memory_MMU_RSP_physicalAddress[31:0]
  4055. 69/104: $0\execute_to_memory_MUL_LL[31:0]
  4056. 70/104: $0\decode_to_execute_SRC2_FORCE_ZERO[0:0]
  4057. 71/104: $0\decode_to_execute_CSR_READ_OPCODE[0:0]
  4058. 72/104: $0\memory_to_writeBack_IS_MUL[0:0]
  4059. 73/104: $0\execute_to_memory_IS_MUL[0:0]
  4060. 74/104: $0\decode_to_execute_IS_MUL[0:0]
  4061. 75/104: $0\memory_to_writeBack_MEMORY_ENABLE[0:0]
  4062. 76/104: $0\execute_to_memory_MEMORY_ENABLE[0:0]
  4063. 77/104: $0\decode_to_execute_MEMORY_ENABLE[0:0]
  4064. 78/104: $0\memory_to_writeBack_MEMORY_STORE[0:0]
  4065. 79/104: $0\execute_to_memory_MEMORY_STORE[0:0]
  4066. 80/104: $0\decode_to_execute_MEMORY_STORE[0:0]
  4067. 81/104: $0\decode_to_execute_PREDICTION_HAD_BRANCHED2[0:0]
  4068. 82/104: $0\decode_to_execute_RS1[31:0]
  4069. 83/104: $0\memory_to_writeBack_MUL_HH[33:0]
  4070. 84/104: $0\execute_to_memory_MUL_HH[33:0]
  4071. 85/104: $0\memory_DivPlugin_div_result[31:0]
  4072. 86/104: $0\memory_DivPlugin_div_done[0:0]
  4073. 87/104: $0\memory_DivPlugin_div_needRevert[0:0]
  4074. 88/104: $0\memory_DivPlugin_rs1[32:0] [31:0]
  4075. 89/104: $0\memory_DivPlugin_rs2[31:0]
  4076. 90/104: $0\CsrPlugin_mip_MSIP[0:0]
  4077. 91/104: $0\CsrPlugin_interrupt_targetPrivilege[1:0]
  4078. 92/104: $0\CsrPlugin_interrupt_code[3:0]
  4079. 93/104: $0\CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[31:0]
  4080. 94/104: $0\CsrPlugin_exceptionPortCtrl_exceptionContext_code[3:0]
  4081. 95/104: $0\CsrPlugin_minstret[63:0]
  4082. 96/104: $0\CsrPlugin_mtval[31:0]
  4083. 97/104: $0\CsrPlugin_mcause_exceptionCode[3:0]
  4084. 98/104: $0\CsrPlugin_mcause_interrupt[0:0]
  4085. 99/104: $0\CsrPlugin_mepc[31:0]
  4086. 100/104: $0\CsrPlugin_mtvec_base[29:0]
  4087. 101/104: $0\CsrPlugin_mtvec_mode[1:0]
  4088. 102/104: $0\IBusCachedPlugin_s2_tightlyCoupledHit[0:0]
  4089. 103/104: $0\IBusCachedPlugin_s1_tightlyCoupledHit[0:0]
  4090. 104/104: $0\_zz_68_[31:0]
  4091. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  4092. 1/41: $0\dBus_cmd_halfPipe_regs_ready[0:0]
  4093. 2/41: $0\dBus_cmd_halfPipe_regs_valid[0:0]
  4094. 3/41: $0\CsrPlugin_exceptionPortCtrl_exceptionValidsRegs_writeBack[0:0]
  4095. 4/41: $0\CsrPlugin_exceptionPortCtrl_exceptionValidsRegs_memory[0:0]
  4096. 5/41: $0\CsrPlugin_exceptionPortCtrl_exceptionValidsRegs_execute[0:0]
  4097. 6/41: $0\memory_DivPlugin_div_counter_value[5:0]
  4098. 7/41: $0\execute_CsrPlugin_wfiWake[0:0]
  4099. 8/41: $0\CsrPlugin_hadException[0:0]
  4100. 9/41: $0\CsrPlugin_interrupt_valid[0:0]
  4101. 10/41: $0\_zz_113_[0:0]
  4102. 11/41: $0\_zz_101_[0:0]
  4103. 12/41: $0\IBusCachedPlugin_fetchPc_booted[0:0]
  4104. 13/41: $0\memory_to_writeBack_REGFILE_WRITE_DATA[31:0]
  4105. 14/41: $0\memory_to_writeBack_INSTRUCTION[31:0]
  4106. 15/41: $0\_zz_146_[31:0]
  4107. 16/41: $0\CsrPlugin_pipelineLiberator_pcValids_2[0:0]
  4108. 17/41: $0\CsrPlugin_pipelineLiberator_pcValids_1[0:0]
  4109. 18/41: $0\CsrPlugin_pipelineLiberator_pcValids_0[0:0]
  4110. 19/41: $0\CsrPlugin_mie_MSIE[0:0]
  4111. 20/41: $0\CsrPlugin_mie_MTIE[0:0]
  4112. 21/41: $0\CsrPlugin_mie_MEIE[0:0]
  4113. 22/41: $0\CsrPlugin_mstatus_MPP[1:0]
  4114. 23/41: $0\CsrPlugin_mstatus_MPIE[0:0]
  4115. 24/41: $0\CsrPlugin_mstatus_MIE[0:0]
  4116. 25/41: $0\RegFilePlugin_shadow_clear[0:0]
  4117. 26/41: $0\RegFilePlugin_shadow_read[0:0]
  4118. 27/41: $0\RegFilePlugin_shadow_write[0:0]
  4119. 28/41: $0\IBusCachedPlugin_rspCounter[31:0]
  4120. 29/41: $0\IBusCachedPlugin_injector_nextPcCalc_valids_4[0:0]
  4121. 30/41: $0\IBusCachedPlugin_injector_nextPcCalc_valids_3[0:0]
  4122. 31/41: $0\IBusCachedPlugin_injector_nextPcCalc_valids_2[0:0]
  4123. 32/41: $0\IBusCachedPlugin_injector_nextPcCalc_valids_1[0:0]
  4124. 33/41: $0\IBusCachedPlugin_injector_nextPcCalc_valids_0[0:0]
  4125. 34/41: $0\_zz_67_[0:0]
  4126. 35/41: $0\_zz_65_[0:0]
  4127. 36/41: $0\IBusCachedPlugin_fetchPc_inc[0:0]
  4128. 37/41: $0\IBusCachedPlugin_fetchPc_correctionReg[0:0]
  4129. 38/41: $0\IBusCachedPlugin_fetchPc_pcReg[31:0]
  4130. 39/41: $0\writeBack_arbitration_isValid[0:0]
  4131. 40/41: $0\memory_arbitration_isValid[0:0]
  4132. 41/41: $0\execute_arbitration_isValid[0:0]
  4133. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4288$2381'.
  4134. 1/1: $1\dBusWishbone_SEL[3:0]
  4135. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4274$2380'.
  4136. 1/1: $1\_zz_156_[3:0]
  4137. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4246$2369'.
  4138. 1/1: $1\_zz_155_[31:0]
  4139. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4239$2368'.
  4140. 1/1: $1\_zz_154_[31:0]
  4141. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4232$2367'.
  4142. 1/1: $1\_zz_153_[31:0]
  4143. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4224$2366'.
  4144. 1/2: $1\_zz_152_[3:0]
  4145. 2/2: $2\_zz_152_[31:31]
  4146. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4217$2365'.
  4147. 1/1: $1\_zz_151_[31:0]
  4148. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4208$2364'.
  4149. 1/3: $1\_zz_150_[3:3]
  4150. 2/3: $2\_zz_150_[7:7]
  4151. 3/3: $3\_zz_150_[11:11]
  4152. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4199$2363'.
  4153. 1/3: $1\_zz_149_[3:3]
  4154. 2/3: $2\_zz_149_[7:7]
  4155. 3/3: $3\_zz_149_[11:11]
  4156. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4190$2362'.
  4157. 1/3: $1\_zz_148_[3:3]
  4158. 2/3: $2\_zz_148_[7:7]
  4159. 3/3: $3\_zz_148_[12:11]
  4160. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4136$2305'.
  4161. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4117$2295'.
  4162. 1/2: $2\memory_DivPlugin_div_counter_valueNext[5:0]
  4163. 2/2: $1\memory_DivPlugin_div_counter_valueNext[5:0]
  4164. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4108$2292'.
  4165. 1/1: $1\memory_DivPlugin_div_counter_willClear[0:0]
  4166. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4099$2291'.
  4167. 1/2: $2\memory_DivPlugin_div_counter_willIncrement[0:0]
  4168. 2/2: $1\memory_DivPlugin_div_counter_willIncrement[0:0]
  4169. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4077$2287'.
  4170. 1/1: $1\execute_MulPlugin_bSigned[0:0]
  4171. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4063$2286'.
  4172. 1/1: $1\execute_MulPlugin_aSigned[0:0]
  4173. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4049$2281'.
  4174. 1/1: $1\execute_CsrPlugin_writeData[31:0]
  4175. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4029$2268'.
  4176. 1/2: $2\CsrPlugin_selfException_payload_code[3:0]
  4177. 2/2: $1\CsrPlugin_selfException_payload_code[3:0]
  4178. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4022$2267'.
  4179. 1/1: $1\CsrPlugin_selfException_valid[0:0]
  4180. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4013$2263'.
  4181. 1/2: $2\execute_CsrPlugin_illegalInstruction[0:0]
  4182. 2/2: $1\execute_CsrPlugin_illegalInstruction[0:0]
  4183. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3963$2258'.
  4184. 1/17: $17\execute_CsrPlugin_illegalAccess[0:0]
  4185. 2/17: $16\execute_CsrPlugin_illegalAccess[0:0]
  4186. 3/17: $15\execute_CsrPlugin_illegalAccess[0:0]
  4187. 4/17: $14\execute_CsrPlugin_illegalAccess[0:0]
  4188. 5/17: $13\execute_CsrPlugin_illegalAccess[0:0]
  4189. 6/17: $12\execute_CsrPlugin_illegalAccess[0:0]
  4190. 7/17: $11\execute_CsrPlugin_illegalAccess[0:0]
  4191. 8/17: $10\execute_CsrPlugin_illegalAccess[0:0]
  4192. 9/17: $9\execute_CsrPlugin_illegalAccess[0:0]
  4193. 10/17: $8\execute_CsrPlugin_illegalAccess[0:0]
  4194. 11/17: $7\execute_CsrPlugin_illegalAccess[0:0]
  4195. 12/17: $6\execute_CsrPlugin_illegalAccess[0:0]
  4196. 13/17: $5\execute_CsrPlugin_illegalAccess[0:0]
  4197. 14/17: $4\execute_CsrPlugin_illegalAccess[0:0]
  4198. 15/17: $3\execute_CsrPlugin_illegalAccess[0:0]
  4199. 16/17: $2\execute_CsrPlugin_illegalAccess[0:0]
  4200. 17/17: $1\execute_CsrPlugin_illegalAccess[0:0]
  4201. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3950$2256'.
  4202. 1/1: $1\CsrPlugin_xtvec_base[29:0]
  4203. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3939$2255'.
  4204. 1/1: $1\CsrPlugin_xtvec_mode[1:0]
  4205. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3932$2254'.
  4206. 1/1: $1\CsrPlugin_trapCause[3:0]
  4207. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3925$2253'.
  4208. 1/1: $1\CsrPlugin_targetPrivilege[1:0]
  4209. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3914$2249'.
  4210. 1/2: $2\CsrPlugin_pipelineLiberator_done[0:0]
  4211. 2/2: $1\CsrPlugin_pipelineLiberator_done[0:0]
  4212. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3900$2245'.
  4213. 1/1: $1\CsrPlugin_exceptionPortCtrl_exceptionValids_writeBack[0:0]
  4214. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3890$2244'.
  4215. 1/2: $2\CsrPlugin_exceptionPortCtrl_exceptionValids_memory[0:0]
  4216. 2/2: $1\CsrPlugin_exceptionPortCtrl_exceptionValids_memory[0:0]
  4217. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3880$2243'.
  4218. 1/2: $2\CsrPlugin_exceptionPortCtrl_exceptionValids_execute[0:0]
  4219. 2/2: $1\CsrPlugin_exceptionPortCtrl_exceptionValids_execute[0:0]
  4220. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3864$2237'.
  4221. 1/1: $1\CsrPlugin_privilege[1:0]
  4222. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3838$2233'.
  4223. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3823$2232'.
  4224. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3808$2229'.
  4225. 1/2: $2\execute_BranchPlugin_branch_src2[31:0]
  4226. 2/2: $1\execute_BranchPlugin_branch_src2[31:0]
  4227. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3785$2228'.
  4228. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3773$2227'.
  4229. 1/1: $1\execute_BranchPlugin_branch_src1[31:0]
  4230. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3758$2224'.
  4231. 1/1: $1\_zz_131_[0:0]
  4232. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3736$2223'.
  4233. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3721$2222'.
  4234. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3697$2221'.
  4235. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3679$2220'.
  4236. 1/1: $1\_zz_124_[0:0]
  4237. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3667$2213'.
  4238. 1/3: $3\_zz_123_[0:0]
  4239. 2/3: $2\_zz_123_[0:0]
  4240. 3/3: $1\_zz_123_[0:0]
  4241. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3631$2204'.
  4242. 1/10: $10\_zz_112_[0:0]
  4243. 2/10: $9\_zz_112_[0:0]
  4244. 3/10: $8\_zz_112_[0:0]
  4245. 4/10: $7\_zz_112_[0:0]
  4246. 5/10: $6\_zz_112_[0:0]
  4247. 6/10: $5\_zz_112_[0:0]
  4248. 7/10: $4\_zz_112_[0:0]
  4249. 8/10: $3\_zz_112_[0:0]
  4250. 9/10: $2\_zz_112_[0:0]
  4251. 10/10: $1\_zz_112_[0:0]
  4252. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3603$2202'.
  4253. 1/10: $10\_zz_111_[0:0]
  4254. 2/10: $9\_zz_111_[0:0]
  4255. 3/10: $8\_zz_111_[0:0]
  4256. 4/10: $7\_zz_111_[0:0]
  4257. 5/10: $6\_zz_111_[0:0]
  4258. 6/10: $5\_zz_111_[0:0]
  4259. 7/10: $4\_zz_111_[0:0]
  4260. 8/10: $3\_zz_111_[0:0]
  4261. 9/10: $2\_zz_111_[0:0]
  4262. 10/10: $1\_zz_111_[0:0]
  4263. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3568$2201'.
  4264. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3532$2198'.
  4265. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3523$2194'.
  4266. 1/1: $1\execute_SrcPlugin_addSub[31:0]
  4267. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3506$2193'.
  4268. 1/1: $1\_zz_108_[31:0]
  4269. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3483$2192'.
  4270. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3459$2191'.
  4271. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3441$2190'.
  4272. 1/1: $1\_zz_103_[31:0]
  4273. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3427$2189'.
  4274. 1/1: $1\_zz_102_[31:0]
  4275. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3413$2185'.
  4276. 1/1: $1\execute_IntAluPlugin_bitwise[31:0]
  4277. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3404$2183'.
  4278. 1/1: $1\lastStageRegFileWrite_valid[0:0]
  4279. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3350$2167'.
  4280. 1/1: $1\writeBack_DBusSimplePlugin_rspFormated[31:0]
  4281. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3330$2166'.
  4282. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3301$2163'.
  4283. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3283$2160'.
  4284. 1/2: $1\writeBack_DBusSimplePlugin_rspShifted[15:0] [15:8]
  4285. 2/2: $1\writeBack_DBusSimplePlugin_rspShifted[15:0] [7:0]
  4286. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3272$2159'.
  4287. 1/2: $2\DBusSimplePlugin_redoBranch_valid[0:0]
  4288. 2/2: $1\DBusSimplePlugin_redoBranch_valid[0:0]
  4289. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3262$2156'.
  4290. 1/2: $2\DBusSimplePlugin_memoryExceptionPort_payload_code[3:0]
  4291. 2/2: $1\DBusSimplePlugin_memoryExceptionPort_payload_code[3:0]
  4292. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3248$2155'.
  4293. 1/3: $3\DBusSimplePlugin_memoryExceptionPort_valid[0:0]
  4294. 2/3: $2\DBusSimplePlugin_memoryExceptionPort_valid[0:0]
  4295. 3/3: $1\DBusSimplePlugin_memoryExceptionPort_valid[0:0]
  4296. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3228$2150'.
  4297. 1/1: $1\_zz_83_[3:0]
  4298. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3213$2149'.
  4299. 1/1: $1\_zz_82_[31:0]
  4300. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3200$2138'.
  4301. 1/2: $2\execute_DBusSimplePlugin_skipCmd[0:0]
  4302. 2/2: $1\execute_DBusSimplePlugin_skipCmd[0:0]
  4303. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3183$2134'.
  4304. 1/1: $1\_zz_164_[0:0]
  4305. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3173$2133'.
  4306. 1/2: $2\IBusCachedPlugin_rsp_redoFetch[0:0]
  4307. 2/2: $1\IBusCachedPlugin_rsp_redoFetch[0:0]
  4308. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3158$2123'.
  4309. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3134$2119'.
  4310. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3119$2118'.
  4311. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3106$2116'.
  4312. 1/1: $1\_zz_75_[0:0]
  4313. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3084$2115'.
  4314. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3069$2114'.
  4315. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3061$2109'.
  4316. 1/1: $1\IBusCachedPlugin_decodePrediction_cmd_hadBranch[0:0]
  4317. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3039$2108'.
  4318. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3025$2105'.
  4319. 1/1: $1\IBusCachedPlugin_iBusRsp_readyForError[0:0]
  4320. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3002$2090'.
  4321. 1/1: $1\IBusCachedPlugin_iBusRsp_stages_2_halt[0:0]
  4322. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2991$2086'.
  4323. 1/1: $1\IBusCachedPlugin_iBusRsp_stages_1_halt[0:0]
  4324. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2980$2082'.
  4325. 1/1: $1\IBusCachedPlugin_iBusRsp_stages_0_halt[0:0]
  4326. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2970$2081'.
  4327. 1/1: $1\IBusCachedPlugin_iBusRsp_redoFetch[0:0]
  4328. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2958$2078'.
  4329. 1/2: $2\IBusCachedPlugin_fetchPc_flushed[0:0]
  4330. 2/2: $1\IBusCachedPlugin_fetchPc_flushed[0:0]
  4331. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2946$2076'.
  4332. 1/2: $2\IBusCachedPlugin_fetchPc_pc[31:0]
  4333. 2/2: $1\IBusCachedPlugin_fetchPc_pc[31:0]
  4334. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2939$2075'.
  4335. 1/1: $1\IBusCachedPlugin_fetchPc_pcRegPropagate[0:0]
  4336. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2928$2073'.
  4337. 1/2: $2\IBusCachedPlugin_fetchPc_correction[0:0]
  4338. 2/2: $1\IBusCachedPlugin_fetchPc_correction[0:0]
  4339. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2901$2066'.
  4340. 1/3: $3\CsrPlugin_jumpInterface_payload[31:0]
  4341. 2/3: $2\CsrPlugin_jumpInterface_payload[31:0]
  4342. 3/3: $1\CsrPlugin_jumpInterface_payload[31:0]
  4343. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2891$2065'.
  4344. 1/2: $2\CsrPlugin_jumpInterface_valid[0:0]
  4345. 2/2: $1\CsrPlugin_jumpInterface_valid[0:0]
  4346. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2882$2063'.
  4347. 1/1: $1\IBusCachedPlugin_incomingInstruction[0:0]
  4348. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2869$2061'.
  4349. 1/3: $3\IBusCachedPlugin_fetcherHalt[0:0]
  4350. 2/3: $2\IBusCachedPlugin_fetcherHalt[0:0]
  4351. 3/3: $1\IBusCachedPlugin_fetcherHalt[0:0]
  4352. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2855$2060'.
  4353. 1/2: $2\writeBack_arbitration_flushNext[0:0]
  4354. 2/2: $1\writeBack_arbitration_flushNext[0:0]
  4355. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2847$2059'.
  4356. 1/1: $1\writeBack_arbitration_removeIt[0:0]
  4357. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2832$2058'.
  4358. 1/3: $3\memory_arbitration_flushNext[0:0]
  4359. 2/3: $2\memory_arbitration_flushNext[0:0]
  4360. 3/3: $1\memory_arbitration_flushNext[0:0]
  4361. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2825$2057'.
  4362. 1/1: $1\memory_arbitration_flushIt[0:0]
  4363. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2815$2056'.
  4364. 1/2: $2\memory_arbitration_removeIt[0:0]
  4365. 2/2: $1\memory_arbitration_removeIt[0:0]
  4366. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2802$2046'.
  4367. 1/3: $3\memory_arbitration_haltItself[0:0]
  4368. 2/3: $2\memory_arbitration_haltItself[0:0]
  4369. 3/3: $1\memory_arbitration_haltItself[0:0]
  4370. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2795$2045'.
  4371. 1/1: $1\execute_arbitration_flushNext[0:0]
  4372. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2784$2044'.
  4373. 1/2: $2\execute_arbitration_removeIt[0:0]
  4374. 2/2: $1\execute_arbitration_removeIt[0:0]
  4375. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2771$2036'.
  4376. 1/3: $3\execute_arbitration_haltItself[0:0]
  4377. 2/3: $2\execute_arbitration_haltItself[0:0]
  4378. 3/3: $1\execute_arbitration_haltItself[0:0]
  4379. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2764$2035'.
  4380. 1/1: $1\decode_arbitration_flushNext[0:0]
  4381. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2756$2034'.
  4382. 1/1: $1\decode_arbitration_removeIt[0:0]
  4383. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2743$2024'.
  4384. 1/3: $3\decode_arbitration_haltByOther[0:0]
  4385. 2/3: $2\decode_arbitration_haltByOther[0:0]
  4386. 3/3: $1\decode_arbitration_haltByOther[0:0]
  4387. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2736$2021'.
  4388. 1/1: $1\decode_arbitration_haltItself[0:0]
  4389. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2726$2020'.
  4390. 1/1: $1\_zz_54_[31:0]
  4391. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2716$2019'.
  4392. 1/2: $2\_zz_53_[31:0]
  4393. 2/2: $1\_zz_53_[31:0]
  4394. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2707$2018'.
  4395. 1/1: $1\_zz_51__0[0:0]
  4396. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2700$2017'.
  4397. 1/1: $1\_zz_51_[0:0]
  4398. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2652$2007'.
  4399. 1/3: $3\_zz_50_[31:0]
  4400. 2/3: $2\_zz_50_[31:0]
  4401. 3/3: $1\_zz_50_[31:0]
  4402. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2644$2005'.
  4403. 1/1: $1\decode_REGFILE_WRITE_VALID[0:0]
  4404. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2636$2003'.
  4405. 1/1: $1\_zz_42_[0:0]
  4406. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2599$2002'.
  4407. 1/3: $3\_zz_32_[31:0]
  4408. 2/3: $2\_zz_32_[31:0]
  4409. 3/3: $1\_zz_32_[31:0]
  4410. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2568$2000'.
  4411. 1/11: $11\decode_RS1[31:0]
  4412. 2/11: $10\decode_RS1[31:0]
  4413. 3/11: $9\decode_RS1[31:0]
  4414. 4/11: $8\decode_RS1[31:0]
  4415. 5/11: $7\decode_RS1[31:0]
  4416. 6/11: $6\decode_RS1[31:0]
  4417. 7/11: $5\decode_RS1[31:0]
  4418. 8/11: $4\decode_RS1[31:0]
  4419. 9/11: $3\decode_RS1[31:0]
  4420. 10/11: $2\decode_RS1[31:0]
  4421. 11/11: $1\decode_RS1[31:0]
  4422. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2538$1998'.
  4423. 1/11: $11\decode_RS2[31:0]
  4424. 2/11: $10\decode_RS2[31:0]
  4425. 3/11: $9\decode_RS2[31:0]
  4426. 4/11: $8\decode_RS2[31:0]
  4427. 5/11: $7\decode_RS2[31:0]
  4428. 6/11: $6\decode_RS2[31:0]
  4429. 7/11: $5\decode_RS2[31:0]
  4430. 8/11: $4\decode_RS2[31:0]
  4431. 9/11: $3\decode_RS2[31:0]
  4432. 10/11: $2\decode_RS2[31:0]
  4433. 11/11: $1\decode_RS2[31:0]
  4434. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2525$1997'.
  4435. 1/1: $1\_zz_31_[31:0]
  4436. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1765$1977'.
  4437. 1/1: $1\_zz_167_[31:0]
  4438. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1713$1970'.
  4439. 1/3: $1$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_EN[31:0]$1976
  4440. 2/3: $1$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_DATA[31:0]$1975
  4441. 3/3: $1$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_ADDR[5:0]$1974
  4442. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1707$1968'.
  4443. 1/1: $0\_zz_166_[31:0]
  4444. Creating decoders for process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1701$1966'.
  4445. 1/1: $0\_zz_165_[31:0]
  4446. Creating decoders for process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:302$1776'.
  4447. 1/12: $0\decodeStage_hit_error[0:0]
  4448. 2/12: $0\decodeStage_hit_valid[0:0]
  4449. 3/12: $0\decodeStage_mmuRsp_refilling[0:0]
  4450. 4/12: $0\decodeStage_mmuRsp_exception[0:0]
  4451. 5/12: $0\decodeStage_mmuRsp_allowExecute[0:0]
  4452. 6/12: $0\decodeStage_mmuRsp_allowWrite[0:0]
  4453. 7/12: $0\decodeStage_mmuRsp_allowRead[0:0]
  4454. 8/12: $0\decodeStage_mmuRsp_isIoAccess[0:0]
  4455. 9/12: $0\decodeStage_mmuRsp_physicalAddress[31:0]
  4456. 10/12: $0\io_cpu_fetch_data_regNextWhen[31:0]
  4457. 11/12: $0\lineLoader_flushCounter[6:0]
  4458. 12/12: $0\lineLoader_address[31:0]
  4459. Creating decoders for process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:264$1773'.
  4460. 1/5: $0\lineLoader_wordIndex[2:0]
  4461. 2/5: $0\lineLoader_cmdSent[0:0]
  4462. 3/5: $0\lineLoader_flushPending[0:0]
  4463. 4/5: $0\lineLoader_hadError[0:0]
  4464. 5/5: $0\lineLoader_valid[0:0]
  4465. Creating decoders for process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:219$1752'.
  4466. 1/1: $1\lineLoader_wayToAllocate_willIncrement[0:0]
  4467. Creating decoders for process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:203$1747'.
  4468. 1/3: $3\io_cpu_prefetch_haltIt[0:0]
  4469. 2/3: $2\io_cpu_prefetch_haltIt[0:0]
  4470. 3/3: $1\io_cpu_prefetch_haltIt[0:0]
  4471. Creating decoders for process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:194$1745'.
  4472. 1/2: $2\lineLoader_fire[0:0]
  4473. 2/2: $1\lineLoader_fire[0:0]
  4474. Creating decoders for process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:186$1744'.
  4475. 1/1: $1\_zz_2_[0:0]
  4476. Creating decoders for process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:179$1743'.
  4477. 1/1: $1\_zz_1_[0:0]
  4478. Creating decoders for process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:173$1741'.
  4479. 1/1: $0\_zz_11_[31:0]
  4480. Creating decoders for process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:167$1734'.
  4481. 1/3: $1$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_EN[31:0]$1740
  4482. 2/3: $1$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_DATA[31:0]$1739
  4483. 3/3: $1$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_ADDR[8:0]$1738
  4484. Creating decoders for process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:161$1732'.
  4485. 1/1: $0\_zz_10_[22:0]
  4486. Creating decoders for process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:155$1725'.
  4487. 1/3: $1$memwr$\ways_0_tags$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:157$1719_EN[22:0]$1731
  4488. 2/3: $1$memwr$\ways_0_tags$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:157$1719_DATA[22:0]$1730
  4489. 3/3: $1$memwr$\ways_0_tags$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:157$1719_ADDR[5:0]$1729
  4490. Creating decoders for process `$paramod$c937df4c258ba3feed83404fb87e6cc4fb9fbffd\ice40_iserdes.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_iserdes.v:0$4397'.
  4491. Creating decoders for process `\vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:307$1657'.
  4492. 1/1: $1\wb_rdata[31:0]
  4493. Creating decoders for process `\vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:303$1651'.
  4494. Creating decoders for process `\vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:253$1644'.
  4495. 1/1: $0\pp_data_3[31:0]
  4496. Creating decoders for process `\vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:250$1643'.
  4497. Creating decoders for process `\vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:239$1636'.
  4498. 1/1: $0\pp_addr_cur_1[15:0]
  4499. Creating decoders for process `\vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:231$1633'.
  4500. 1/1: $0\pp_addr_base_1[15:0]
  4501. Creating decoders for process `\vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:224$1629'.
  4502. Creating decoders for process `\vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:200$1628'.
  4503. 1/2: $0\pp_ydbl_1[0:0]
  4504. 2/2: $0\pp_yscale_state[3:0]
  4505. Creating decoders for process `\vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:178$1625'.
  4506. 1/1: $0\vs_frame_cnt[15:0]
  4507. Creating decoders for process `\vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:175$1620'.
  4508. Creating decoders for process `$paramod$14e5d95e036b4742363dfea0c94e50791839025a\ice40_iserdes.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_iserdes.v:0$4376'.
  4509. Creating decoders for process `$paramod$67159177885044a3572460fa032eb51bd80cf94f\ice40_iserdes.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_iserdes.v:0$4355'.
  4510. Creating decoders for process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:0$3674'.
  4511. Creating decoders for process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:0$3673'.
  4512. Creating decoders for process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557'.
  4513. 1/320: $8\mem_dm_w[7:0] [7]
  4514. 2/320: $8\mem_dm_w[7:0] [4]
  4515. 3/320: $8\mem_dm_w[7:0] [2]
  4516. 4/320: $8\mem_dm_w[7:0] [0]
  4517. 5/320: $8\mem_dm_w[7:0] [6]
  4518. 6/320: $8\mem_dm_w[7:0] [1]
  4519. 7/320: $8\mem_dm_w[7:0] [3]
  4520. 8/320: $8\mem_dm_w[7:0] [5]
  4521. 9/320: $8\mem_di_w[31:0] [31]
  4522. 10/320: $8\mem_di_w[31:0] [24]
  4523. 11/320: $8\mem_di_w[31:0] [22]
  4524. 12/320: $8\mem_di_w[31:0] [20]
  4525. 13/320: $8\mem_di_w[31:0] [18]
  4526. 14/320: $8\mem_di_w[31:0] [16]
  4527. 15/320: $8\mem_di_w[31:0] [14]
  4528. 16/320: $8\mem_di_w[31:0] [12]
  4529. 17/320: $8\mem_di_w[31:0] [10]
  4530. 18/320: $8\mem_di_w[31:0] [8]
  4531. 19/320: $8\mem_di_w[31:0] [6]
  4532. 20/320: $8\mem_di_w[31:0] [4]
  4533. 21/320: $8\mem_di_w[31:0] [2]
  4534. 22/320: $8\mem_di_w[31:0] [0]
  4535. 23/320: $8\mem_di_w[31:0] [30]
  4536. 24/320: $8\mem_di_w[31:0] [27]
  4537. 25/320: $8\mem_di_w[31:0] [23]
  4538. 26/320: $8\mem_di_w[31:0] [21]
  4539. 27/320: $8\mem_di_w[31:0] [17]
  4540. 28/320: $8\mem_di_w[31:0] [13]
  4541. 29/320: $8\mem_di_w[31:0] [9]
  4542. 30/320: $8\mem_di_w[31:0] [5]
  4543. 31/320: $8\mem_di_w[31:0] [1]
  4544. 32/320: $8\mem_di_w[31:0] [28]
  4545. 33/320: $8\mem_di_w[31:0] [26]
  4546. 34/320: $8\mem_di_w[31:0] [15]
  4547. 35/320: $8\mem_di_w[31:0] [7]
  4548. 36/320: $8\mem_di_w[31:0] [29]
  4549. 37/320: $8\mem_di_w[31:0] [19]
  4550. 38/320: $8\mem_di_w[31:0] [3]
  4551. 39/320: $8\mem_di_w[31:0] [25]
  4552. 40/320: $8\mem_di_w[31:0] [11]
  4553. 41/320: $7\mem_dm_w[7:0] [7]
  4554. 42/320: $7\mem_dm_w[7:0] [4]
  4555. 43/320: $7\mem_dm_w[7:0] [2]
  4556. 44/320: $7\mem_dm_w[7:0] [0]
  4557. 45/320: $7\mem_dm_w[7:0] [6]
  4558. 46/320: $7\mem_dm_w[7:0] [1]
  4559. 47/320: $7\mem_dm_w[7:0] [3]
  4560. 48/320: $7\mem_dm_w[7:0] [5]
  4561. 49/320: $7\mem_di_w[31:0] [31]
  4562. 50/320: $7\mem_di_w[31:0] [24]
  4563. 51/320: $7\mem_di_w[31:0] [22]
  4564. 52/320: $7\mem_di_w[31:0] [20]
  4565. 53/320: $7\mem_di_w[31:0] [18]
  4566. 54/320: $7\mem_di_w[31:0] [16]
  4567. 55/320: $7\mem_di_w[31:0] [14]
  4568. 56/320: $7\mem_di_w[31:0] [12]
  4569. 57/320: $7\mem_di_w[31:0] [10]
  4570. 58/320: $7\mem_di_w[31:0] [8]
  4571. 59/320: $7\mem_di_w[31:0] [6]
  4572. 60/320: $7\mem_di_w[31:0] [4]
  4573. 61/320: $7\mem_di_w[31:0] [2]
  4574. 62/320: $7\mem_di_w[31:0] [0]
  4575. 63/320: $7\mem_di_w[31:0] [30]
  4576. 64/320: $7\mem_di_w[31:0] [27]
  4577. 65/320: $7\mem_di_w[31:0] [23]
  4578. 66/320: $7\mem_di_w[31:0] [21]
  4579. 67/320: $7\mem_di_w[31:0] [17]
  4580. 68/320: $7\mem_di_w[31:0] [13]
  4581. 69/320: $7\mem_di_w[31:0] [9]
  4582. 70/320: $7\mem_di_w[31:0] [5]
  4583. 71/320: $7\mem_di_w[31:0] [1]
  4584. 72/320: $7\mem_di_w[31:0] [28]
  4585. 73/320: $7\mem_di_w[31:0] [26]
  4586. 74/320: $7\mem_di_w[31:0] [15]
  4587. 75/320: $7\mem_di_w[31:0] [7]
  4588. 76/320: $7\mem_di_w[31:0] [29]
  4589. 77/320: $7\mem_di_w[31:0] [19]
  4590. 78/320: $7\mem_di_w[31:0] [3]
  4591. 79/320: $7\mem_di_w[31:0] [25]
  4592. 80/320: $7\mem_di_w[31:0] [11]
  4593. 81/320: $6\mem_dm_w[7:0] [7]
  4594. 82/320: $6\mem_dm_w[7:0] [4]
  4595. 83/320: $6\mem_dm_w[7:0] [2]
  4596. 84/320: $6\mem_dm_w[7:0] [0]
  4597. 85/320: $6\mem_dm_w[7:0] [6]
  4598. 86/320: $6\mem_dm_w[7:0] [1]
  4599. 87/320: $6\mem_dm_w[7:0] [3]
  4600. 88/320: $6\mem_dm_w[7:0] [5]
  4601. 89/320: $6\mem_di_w[31:0] [31]
  4602. 90/320: $6\mem_di_w[31:0] [24]
  4603. 91/320: $6\mem_di_w[31:0] [22]
  4604. 92/320: $6\mem_di_w[31:0] [20]
  4605. 93/320: $6\mem_di_w[31:0] [18]
  4606. 94/320: $6\mem_di_w[31:0] [16]
  4607. 95/320: $6\mem_di_w[31:0] [14]
  4608. 96/320: $6\mem_di_w[31:0] [12]
  4609. 97/320: $6\mem_di_w[31:0] [10]
  4610. 98/320: $6\mem_di_w[31:0] [8]
  4611. 99/320: $6\mem_di_w[31:0] [6]
  4612. 100/320: $6\mem_di_w[31:0] [4]
  4613. 101/320: $6\mem_di_w[31:0] [2]
  4614. 102/320: $6\mem_di_w[31:0] [0]
  4615. 103/320: $6\mem_di_w[31:0] [30]
  4616. 104/320: $6\mem_di_w[31:0] [27]
  4617. 105/320: $6\mem_di_w[31:0] [23]
  4618. 106/320: $6\mem_di_w[31:0] [21]
  4619. 107/320: $6\mem_di_w[31:0] [17]
  4620. 108/320: $6\mem_di_w[31:0] [13]
  4621. 109/320: $6\mem_di_w[31:0] [9]
  4622. 110/320: $6\mem_di_w[31:0] [5]
  4623. 111/320: $6\mem_di_w[31:0] [1]
  4624. 112/320: $6\mem_di_w[31:0] [28]
  4625. 113/320: $6\mem_di_w[31:0] [26]
  4626. 114/320: $6\mem_di_w[31:0] [15]
  4627. 115/320: $6\mem_di_w[31:0] [7]
  4628. 116/320: $6\mem_di_w[31:0] [29]
  4629. 117/320: $6\mem_di_w[31:0] [19]
  4630. 118/320: $6\mem_di_w[31:0] [3]
  4631. 119/320: $6\mem_di_w[31:0] [25]
  4632. 120/320: $6\mem_di_w[31:0] [11]
  4633. 121/320: $5\mem_dm_w[7:0] [7]
  4634. 122/320: $5\mem_dm_w[7:0] [4]
  4635. 123/320: $5\mem_dm_w[7:0] [2]
  4636. 124/320: $5\mem_dm_w[7:0] [0]
  4637. 125/320: $5\mem_dm_w[7:0] [6]
  4638. 126/320: $5\mem_dm_w[7:0] [1]
  4639. 127/320: $5\mem_dm_w[7:0] [3]
  4640. 128/320: $5\mem_dm_w[7:0] [5]
  4641. 129/320: $5\mem_di_w[31:0] [31]
  4642. 130/320: $5\mem_di_w[31:0] [24]
  4643. 131/320: $5\mem_di_w[31:0] [22]
  4644. 132/320: $5\mem_di_w[31:0] [20]
  4645. 133/320: $5\mem_di_w[31:0] [18]
  4646. 134/320: $5\mem_di_w[31:0] [16]
  4647. 135/320: $5\mem_di_w[31:0] [14]
  4648. 136/320: $5\mem_di_w[31:0] [12]
  4649. 137/320: $5\mem_di_w[31:0] [10]
  4650. 138/320: $5\mem_di_w[31:0] [8]
  4651. 139/320: $5\mem_di_w[31:0] [6]
  4652. 140/320: $5\mem_di_w[31:0] [4]
  4653. 141/320: $5\mem_di_w[31:0] [2]
  4654. 142/320: $5\mem_di_w[31:0] [0]
  4655. 143/320: $5\mem_di_w[31:0] [30]
  4656. 144/320: $5\mem_di_w[31:0] [27]
  4657. 145/320: $5\mem_di_w[31:0] [23]
  4658. 146/320: $5\mem_di_w[31:0] [21]
  4659. 147/320: $5\mem_di_w[31:0] [17]
  4660. 148/320: $5\mem_di_w[31:0] [13]
  4661. 149/320: $5\mem_di_w[31:0] [9]
  4662. 150/320: $5\mem_di_w[31:0] [5]
  4663. 151/320: $5\mem_di_w[31:0] [1]
  4664. 152/320: $5\mem_di_w[31:0] [28]
  4665. 153/320: $5\mem_di_w[31:0] [26]
  4666. 154/320: $5\mem_di_w[31:0] [15]
  4667. 155/320: $5\mem_di_w[31:0] [7]
  4668. 156/320: $5\mem_di_w[31:0] [29]
  4669. 157/320: $5\mem_di_w[31:0] [19]
  4670. 158/320: $5\mem_di_w[31:0] [3]
  4671. 159/320: $5\mem_di_w[31:0] [25]
  4672. 160/320: $5\mem_di_w[31:0] [11]
  4673. 161/320: $4\mem_dm_w[7:0] [7]
  4674. 162/320: $4\mem_dm_w[7:0] [4]
  4675. 163/320: $4\mem_dm_w[7:0] [2]
  4676. 164/320: $4\mem_dm_w[7:0] [0]
  4677. 165/320: $4\mem_dm_w[7:0] [6]
  4678. 166/320: $4\mem_dm_w[7:0] [1]
  4679. 167/320: $4\mem_dm_w[7:0] [3]
  4680. 168/320: $4\mem_dm_w[7:0] [5]
  4681. 169/320: $4\mem_di_w[31:0] [31]
  4682. 170/320: $4\mem_di_w[31:0] [24]
  4683. 171/320: $4\mem_di_w[31:0] [22]
  4684. 172/320: $4\mem_di_w[31:0] [20]
  4685. 173/320: $4\mem_di_w[31:0] [18]
  4686. 174/320: $4\mem_di_w[31:0] [16]
  4687. 175/320: $4\mem_di_w[31:0] [14]
  4688. 176/320: $4\mem_di_w[31:0] [12]
  4689. 177/320: $4\mem_di_w[31:0] [10]
  4690. 178/320: $4\mem_di_w[31:0] [8]
  4691. 179/320: $4\mem_di_w[31:0] [6]
  4692. 180/320: $4\mem_di_w[31:0] [4]
  4693. 181/320: $4\mem_di_w[31:0] [2]
  4694. 182/320: $4\mem_di_w[31:0] [0]
  4695. 183/320: $4\mem_di_w[31:0] [30]
  4696. 184/320: $4\mem_di_w[31:0] [27]
  4697. 185/320: $4\mem_di_w[31:0] [23]
  4698. 186/320: $4\mem_di_w[31:0] [21]
  4699. 187/320: $4\mem_di_w[31:0] [17]
  4700. 188/320: $4\mem_di_w[31:0] [13]
  4701. 189/320: $4\mem_di_w[31:0] [9]
  4702. 190/320: $4\mem_di_w[31:0] [5]
  4703. 191/320: $4\mem_di_w[31:0] [1]
  4704. 192/320: $4\mem_di_w[31:0] [28]
  4705. 193/320: $4\mem_di_w[31:0] [26]
  4706. 194/320: $4\mem_di_w[31:0] [15]
  4707. 195/320: $4\mem_di_w[31:0] [7]
  4708. 196/320: $4\mem_di_w[31:0] [29]
  4709. 197/320: $4\mem_di_w[31:0] [19]
  4710. 198/320: $4\mem_di_w[31:0] [3]
  4711. 199/320: $4\mem_di_w[31:0] [25]
  4712. 200/320: $4\mem_di_w[31:0] [11]
  4713. 201/320: $3\mem_dm_w[7:0] [7]
  4714. 202/320: $3\mem_dm_w[7:0] [4]
  4715. 203/320: $3\mem_dm_w[7:0] [2]
  4716. 204/320: $3\mem_dm_w[7:0] [0]
  4717. 205/320: $3\mem_dm_w[7:0] [6]
  4718. 206/320: $3\mem_dm_w[7:0] [1]
  4719. 207/320: $3\mem_dm_w[7:0] [3]
  4720. 208/320: $3\mem_dm_w[7:0] [5]
  4721. 209/320: $3\mem_di_w[31:0] [31]
  4722. 210/320: $3\mem_di_w[31:0] [24]
  4723. 211/320: $3\mem_di_w[31:0] [22]
  4724. 212/320: $3\mem_di_w[31:0] [20]
  4725. 213/320: $3\mem_di_w[31:0] [18]
  4726. 214/320: $3\mem_di_w[31:0] [16]
  4727. 215/320: $3\mem_di_w[31:0] [14]
  4728. 216/320: $3\mem_di_w[31:0] [12]
  4729. 217/320: $3\mem_di_w[31:0] [10]
  4730. 218/320: $3\mem_di_w[31:0] [8]
  4731. 219/320: $3\mem_di_w[31:0] [6]
  4732. 220/320: $3\mem_di_w[31:0] [4]
  4733. 221/320: $3\mem_di_w[31:0] [2]
  4734. 222/320: $3\mem_di_w[31:0] [0]
  4735. 223/320: $3\mem_di_w[31:0] [30]
  4736. 224/320: $3\mem_di_w[31:0] [27]
  4737. 225/320: $3\mem_di_w[31:0] [23]
  4738. 226/320: $3\mem_di_w[31:0] [21]
  4739. 227/320: $3\mem_di_w[31:0] [17]
  4740. 228/320: $3\mem_di_w[31:0] [13]
  4741. 229/320: $3\mem_di_w[31:0] [9]
  4742. 230/320: $3\mem_di_w[31:0] [5]
  4743. 231/320: $3\mem_di_w[31:0] [1]
  4744. 232/320: $3\mem_di_w[31:0] [28]
  4745. 233/320: $3\mem_di_w[31:0] [26]
  4746. 234/320: $3\mem_di_w[31:0] [15]
  4747. 235/320: $3\mem_di_w[31:0] [7]
  4748. 236/320: $3\mem_di_w[31:0] [29]
  4749. 237/320: $3\mem_di_w[31:0] [19]
  4750. 238/320: $3\mem_di_w[31:0] [3]
  4751. 239/320: $3\mem_di_w[31:0] [25]
  4752. 240/320: $3\mem_di_w[31:0] [11]
  4753. 241/320: $2\mem_dm_w[7:0] [7]
  4754. 242/320: $2\mem_dm_w[7:0] [4]
  4755. 243/320: $2\mem_dm_w[7:0] [2]
  4756. 244/320: $2\mem_dm_w[7:0] [0]
  4757. 245/320: $2\mem_dm_w[7:0] [6]
  4758. 246/320: $2\mem_dm_w[7:0] [1]
  4759. 247/320: $2\mem_dm_w[7:0] [3]
  4760. 248/320: $2\mem_dm_w[7:0] [5]
  4761. 249/320: $2\mem_di_w[31:0] [31]
  4762. 250/320: $2\mem_di_w[31:0] [24]
  4763. 251/320: $2\mem_di_w[31:0] [22]
  4764. 252/320: $2\mem_di_w[31:0] [20]
  4765. 253/320: $2\mem_di_w[31:0] [18]
  4766. 254/320: $2\mem_di_w[31:0] [16]
  4767. 255/320: $2\mem_di_w[31:0] [14]
  4768. 256/320: $2\mem_di_w[31:0] [12]
  4769. 257/320: $2\mem_di_w[31:0] [10]
  4770. 258/320: $2\mem_di_w[31:0] [8]
  4771. 259/320: $2\mem_di_w[31:0] [6]
  4772. 260/320: $2\mem_di_w[31:0] [4]
  4773. 261/320: $2\mem_di_w[31:0] [2]
  4774. 262/320: $2\mem_di_w[31:0] [0]
  4775. 263/320: $2\mem_di_w[31:0] [30]
  4776. 264/320: $2\mem_di_w[31:0] [27]
  4777. 265/320: $2\mem_di_w[31:0] [23]
  4778. 266/320: $2\mem_di_w[31:0] [21]
  4779. 267/320: $2\mem_di_w[31:0] [17]
  4780. 268/320: $2\mem_di_w[31:0] [13]
  4781. 269/320: $2\mem_di_w[31:0] [9]
  4782. 270/320: $2\mem_di_w[31:0] [5]
  4783. 271/320: $2\mem_di_w[31:0] [1]
  4784. 272/320: $2\mem_di_w[31:0] [28]
  4785. 273/320: $2\mem_di_w[31:0] [26]
  4786. 274/320: $2\mem_di_w[31:0] [15]
  4787. 275/320: $2\mem_di_w[31:0] [7]
  4788. 276/320: $2\mem_di_w[31:0] [29]
  4789. 277/320: $2\mem_di_w[31:0] [19]
  4790. 278/320: $2\mem_di_w[31:0] [3]
  4791. 279/320: $2\mem_di_w[31:0] [25]
  4792. 280/320: $2\mem_di_w[31:0] [11]
  4793. 281/320: $1\mem_dm_w[7:0] [7]
  4794. 282/320: $1\mem_dm_w[7:0] [4]
  4795. 283/320: $1\mem_dm_w[7:0] [2]
  4796. 284/320: $1\mem_dm_w[7:0] [0]
  4797. 285/320: $1\mem_dm_w[7:0] [6]
  4798. 286/320: $1\mem_dm_w[7:0] [1]
  4799. 287/320: $1\mem_dm_w[7:0] [3]
  4800. 288/320: $1\mem_dm_w[7:0] [5]
  4801. 289/320: $1\mem_di_w[31:0] [31]
  4802. 290/320: $1\mem_di_w[31:0] [24]
  4803. 291/320: $1\mem_di_w[31:0] [22]
  4804. 292/320: $1\mem_di_w[31:0] [20]
  4805. 293/320: $1\mem_di_w[31:0] [18]
  4806. 294/320: $1\mem_di_w[31:0] [16]
  4807. 295/320: $1\mem_di_w[31:0] [14]
  4808. 296/320: $1\mem_di_w[31:0] [12]
  4809. 297/320: $1\mem_di_w[31:0] [10]
  4810. 298/320: $1\mem_di_w[31:0] [8]
  4811. 299/320: $1\mem_di_w[31:0] [6]
  4812. 300/320: $1\mem_di_w[31:0] [4]
  4813. 301/320: $1\mem_di_w[31:0] [2]
  4814. 302/320: $1\mem_di_w[31:0] [0]
  4815. 303/320: $1\mem_di_w[31:0] [30]
  4816. 304/320: $1\mem_di_w[31:0] [27]
  4817. 305/320: $1\mem_di_w[31:0] [23]
  4818. 306/320: $1\mem_di_w[31:0] [21]
  4819. 307/320: $1\mem_di_w[31:0] [17]
  4820. 308/320: $1\mem_di_w[31:0] [13]
  4821. 309/320: $1\mem_di_w[31:0] [9]
  4822. 310/320: $1\mem_di_w[31:0] [5]
  4823. 311/320: $1\mem_di_w[31:0] [1]
  4824. 312/320: $1\mem_di_w[31:0] [28]
  4825. 313/320: $1\mem_di_w[31:0] [26]
  4826. 314/320: $1\mem_di_w[31:0] [15]
  4827. 315/320: $1\mem_di_w[31:0] [7]
  4828. 316/320: $1\mem_di_w[31:0] [29]
  4829. 317/320: $1\mem_di_w[31:0] [19]
  4830. 318/320: $1\mem_di_w[31:0] [3]
  4831. 319/320: $1\mem_di_w[31:0] [25]
  4832. 320/320: $1\mem_di_w[31:0] [11]
  4833. Creating decoders for process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:73$3556'.
  4834. 1/1: $0\addr_r[13:0]
  4835. Creating decoders for process `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:0$4073'.
  4836. Creating decoders for process `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:32$4072'.
  4837. Creating decoders for process `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:74$4053'.
  4838. 1/1: $0\clk_div[1:0]
  4839. Creating decoders for process `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:57$4050'.
  4840. 1/1: $0\rst_i[0:0]
  4841. Creating decoders for process `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:50$4047'.
  4842. 1/1: $0\rst_cnt[3:0]
  4843. Creating decoders for process `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:294$3451'.
  4844. 1/5: $0\wb_cyc[3:0] [3]
  4845. 2/5: $0\wb_cyc[3:0] [2]
  4846. 3/5: $0\wb_cyc[3:0] [1]
  4847. 4/5: $0\wb_cyc[3:0] [0]
  4848. 5/5: $1\wb_cyc_proc.i[31:0]
  4849. Creating decoders for process `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:291$3449'.
  4850. Creating decoders for process `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:268$3436'.
  4851. Creating decoders for process `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:241$3428'.
  4852. 1/1: $1\d_wb_ack[0:0]
  4853. Creating decoders for process `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:221$3416'.
  4854. Creating decoders for process `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:198$3408'.
  4855. Creating decoders for process `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:175$3395'.
  4856. 1/5: $1\ctrl_is_io[0:0]
  4857. 2/5: $1\ctrl_is_ram[0:0]
  4858. 3/5: $1\ctrl_is_cache[0:0]
  4859. 4/5: $0\ctrl_is_dbus[0:0]
  4860. 5/5: $0\ctrl_is_ibus[0:0]
  4861. Creating decoders for process `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:137$3390'.
  4862. 1/7: $7\state_nxt[2:0]
  4863. 2/7: $6\state_nxt[2:0]
  4864. 3/7: $5\state_nxt[2:0]
  4865. 4/7: $4\state_nxt[2:0]
  4866. 5/7: $3\state_nxt[2:0]
  4867. 6/7: $2\state_nxt[2:0]
  4868. 7/7: $1\state_nxt[2:0]
  4869. Creating decoders for process `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:130$3389'.
  4870. 1/1: $0\state[2:0]
  4871. 63.3.8. Executing PROC_DLATCH pass (convert process syncs to latches).
  4872. No latch inferred for signal `$paramod$0e9701ec0ff3618e82c6b3c7773f21bce1c1aa12\ice40_iserdes.\shift_in[0]' from process `$paramod$0e9701ec0ff3618e82c6b3c7773f21bce1c1aa12\ice40_iserdes.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_iserdes.v:0$4334'.
  4873. No latch inferred for signal `$paramod$0e9701ec0ff3618e82c6b3c7773f21bce1c1aa12\ice40_iserdes.\shift_out[0]' from process `$paramod$0e9701ec0ff3618e82c6b3c7773f21bce1c1aa12\ice40_iserdes.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_iserdes.v:0$4334'.
  4874. No latch inferred for signal `$paramod$0e9701ec0ff3618e82c6b3c7773f21bce1c1aa12\ice40_iserdes.\fcap_in[0]' from process `$paramod$0e9701ec0ff3618e82c6b3c7773f21bce1c1aa12\ice40_iserdes.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_iserdes.v:0$4334'.
  4875. No latch inferred for signal `$paramod$0e9701ec0ff3618e82c6b3c7773f21bce1c1aa12\ice40_iserdes.\fcap_out[0]' from process `$paramod$0e9701ec0ff3618e82c6b3c7773f21bce1c1aa12\ice40_iserdes.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_iserdes.v:0$4334'.
  4876. No latch inferred for signal `$paramod$0e9701ec0ff3618e82c6b3c7773f21bce1c1aa12\ice40_iserdes.\fcap_out[1]' from process `$paramod$0e9701ec0ff3618e82c6b3c7773f21bce1c1aa12\ice40_iserdes.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_iserdes.v:0$4334'.
  4877. No latch inferred for signal `$paramod$f1ce7771bad0baf70eccc6d92471271ce6f4b9b5\ice40_ebr.\bitrev16$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_ebr.v:105$4651.sig' from process `$paramod$f1ce7771bad0baf70eccc6d92471271ce6f4b9b5\ice40_ebr.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_ebr.v:0$4660'.
  4878. No latch inferred for signal `$paramod$f1ce7771bad0baf70eccc6d92471271ce6f4b9b5\ice40_ebr.\bitrev16$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_ebr.v:105$4651.$result' from process `$paramod$f1ce7771bad0baf70eccc6d92471271ce6f4b9b5\ice40_ebr.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_ebr.v:0$4660'.
  4879. No latch inferred for signal `$paramod$f1ce7771bad0baf70eccc6d92471271ce6f4b9b5\ice40_ebr.\bitrev16$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_ebr.v:105$4648.$result' from process `$paramod$f1ce7771bad0baf70eccc6d92471271ce6f4b9b5\ice40_ebr.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_ebr.v:0$4660'.
  4880. No latch inferred for signal `$paramod$f1ce7771bad0baf70eccc6d92471271ce6f4b9b5\ice40_ebr.\bitrev16$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_ebr.v:104$4650.sig' from process `$paramod$f1ce7771bad0baf70eccc6d92471271ce6f4b9b5\ice40_ebr.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_ebr.v:0$4656'.
  4881. No latch inferred for signal `$paramod$f1ce7771bad0baf70eccc6d92471271ce6f4b9b5\ice40_ebr.\bitrev16$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_ebr.v:104$4650.$result' from process `$paramod$f1ce7771bad0baf70eccc6d92471271ce6f4b9b5\ice40_ebr.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_ebr.v:0$4656'.
  4882. No latch inferred for signal `$paramod$f1ce7771bad0baf70eccc6d92471271ce6f4b9b5\ice40_ebr.\bitrev16$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_ebr.v:104$4647.$result' from process `$paramod$f1ce7771bad0baf70eccc6d92471271ce6f4b9b5\ice40_ebr.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_ebr.v:0$4656'.
  4883. No latch inferred for signal `$paramod$f1ce7771bad0baf70eccc6d92471271ce6f4b9b5\ice40_ebr.\bitrev16$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_ebr.v:81$4649.sig' from process `$paramod$f1ce7771bad0baf70eccc6d92471271ce6f4b9b5\ice40_ebr.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_ebr.v:0$4652'.
  4884. No latch inferred for signal `$paramod$f1ce7771bad0baf70eccc6d92471271ce6f4b9b5\ice40_ebr.\bitrev16$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_ebr.v:81$4649.$result' from process `$paramod$f1ce7771bad0baf70eccc6d92471271ce6f4b9b5\ice40_ebr.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_ebr.v:0$4652'.
  4885. No latch inferred for signal `$paramod$f1ce7771bad0baf70eccc6d92471271ce6f4b9b5\ice40_ebr.\bitrev16$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_ebr.v:81$4646.$result' from process `$paramod$f1ce7771bad0baf70eccc6d92471271ce6f4b9b5\ice40_ebr.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_ebr.v:0$4652'.
  4886. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_valid[0]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4634'.
  4887. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_valid[1]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4634'.
  4888. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_valid[2]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4634'.
  4889. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_valid[3]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4634'.
  4890. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_dirty[0]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4634'.
  4891. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_dirty[1]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4634'.
  4892. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_dirty[2]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4634'.
  4893. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_dirty[3]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4634'.
  4894. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_age[0]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4634'.
  4895. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_age[1]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4634'.
  4896. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_age[2]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4634'.
  4897. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_age[3]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4634'.
  4898. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_tag[0]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4634'.
  4899. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_tag[1]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4634'.
  4900. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_tag[2]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4634'.
  4901. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_tag[3]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4634'.
  4902. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_match_age[0]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4634'.
  4903. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_match_age[1]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4634'.
  4904. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_match_age[2]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4634'.
  4905. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_match_age[3]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4634'.
  4906. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$mem2reg_rd$\way_tag$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:344$4512_DATA' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4631'.
  4907. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$mem2reg_rd$\way_dirty$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:343$4511_DATA' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4628'.
  4908. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$mem2reg_rd$\way_valid$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:342$4510_DATA' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4625'.
  4909. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\dm_addr' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:476$4615'.
  4910. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\dm_re' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:476$4615'.
  4911. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\dm_wdata' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:476$4615'.
  4912. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\dm_wmsk' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:476$4615'.
  4913. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\dm_we' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:476$4615'.
  4914. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\tag_next.w' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:441$4602'.
  4915. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_tag_we[0]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:441$4602'.
  4916. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_tag_we[1]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:441$4602'.
  4917. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_tag_we[2]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:441$4602'.
  4918. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_tag_we[3]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:441$4602'.
  4919. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\age_next.w' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:396$4577'.
  4920. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_age_nxt[0]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:396$4577'.
  4921. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_age_nxt[1]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:396$4577'.
  4922. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_age_nxt[2]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:396$4577'.
  4923. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_age_nxt[3]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:396$4577'.
  4924. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\dirty_next.w' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:364$4560'.
  4925. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_valid_nxt[0]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:364$4560'.
  4926. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_valid_nxt[1]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:364$4560'.
  4927. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_valid_nxt[2]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:364$4560'.
  4928. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_valid_nxt[3]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:364$4560'.
  4929. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_valid_we[0]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:364$4560'.
  4930. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_valid_we[1]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:364$4560'.
  4931. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_valid_we[2]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:364$4560'.
  4932. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_valid_we[3]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:364$4560'.
  4933. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_dirty_nxt[0]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:364$4560'.
  4934. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_dirty_nxt[1]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:364$4560'.
  4935. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_dirty_nxt[2]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:364$4560'.
  4936. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_dirty_nxt[3]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:364$4560'.
  4937. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_dirty_we[0]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:364$4560'.
  4938. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_dirty_we[1]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:364$4560'.
  4939. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_dirty_we[2]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:364$4560'.
  4940. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\way_dirty_we[3]' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:364$4560'.
  4941. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\ev_way' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:330$4546'.
  4942. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\evict.w' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:330$4546'.
  4943. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\lu_hit_way' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:309$4541'.
  4944. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\lu_hit_age' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:309$4541'.
  4945. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\hit.w' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:309$4541'.
  4946. No latch inferred for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\ctrl_state_nxt' from process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:174$4514'.
  4947. No latch inferred for signal `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.\v_mux' from process `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:147$3944'.
  4948. No latch inferred for signal `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.\h_mux' from process `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:108$3935'.
  4949. No latch inferred for signal `$paramod$8b22e2c4b4d1e8b8ca3524a85d03e9beac836863\fifo_sync_shift.\data[0]' from process `$paramod$8b22e2c4b4d1e8b8ca3524a85d03e9beac836863\fifo_sync_shift.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v:0$3893'.
  4950. No latch inferred for signal `$paramod$8b22e2c4b4d1e8b8ca3524a85d03e9beac836863\fifo_sync_shift.\data[1]' from process `$paramod$8b22e2c4b4d1e8b8ca3524a85d03e9beac836863\fifo_sync_shift.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v:0$3893'.
  4951. No latch inferred for signal `$paramod$8b22e2c4b4d1e8b8ca3524a85d03e9beac836863\fifo_sync_shift.\data[2]' from process `$paramod$8b22e2c4b4d1e8b8ca3524a85d03e9beac836863\fifo_sync_shift.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v:0$3893'.
  4952. No latch inferred for signal `$paramod$dafc3a7f4a5bcc8b3adb4c007b6f574c47147813\fifo_sync_shift.\data[0]' from process `$paramod$dafc3a7f4a5bcc8b3adb4c007b6f574c47147813\fifo_sync_shift.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v:0$3880'.
  4953. No latch inferred for signal `$paramod$dafc3a7f4a5bcc8b3adb4c007b6f574c47147813\fifo_sync_shift.\data[1]' from process `$paramod$dafc3a7f4a5bcc8b3adb4c007b6f574c47147813\fifo_sync_shift.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v:0$3880'.
  4954. No latch inferred for signal `$paramod$dafc3a7f4a5bcc8b3adb4c007b6f574c47147813\fifo_sync_shift.\data[2]' from process `$paramod$dafc3a7f4a5bcc8b3adb4c007b6f574c47147813\fifo_sync_shift.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v:0$3880'.
  4955. No latch inferred for signal `$paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter.\cnt_move' from process `$paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/glitch_filter.v:60$3493'.
  4956. No latch inferred for signal `\top.\wb_rdata[0]' from process `\top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/top.v:0$3492'.
  4957. No latch inferred for signal `\top.\wb_rdata[1]' from process `\top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/top.v:0$3492'.
  4958. No latch inferred for signal `\top.\wb_rdata[2]' from process `\top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/top.v:0$3492'.
  4959. No latch inferred for signal `\top.\wb_rdata[3]' from process `\top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/top.v:0$3492'.
  4960. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\rom_cmd_len.i' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:0$3212'.
  4961. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\cmd_len_rom[0]' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:0$3212'.
  4962. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\cmd_len_rom[1]' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:0$3212'.
  4963. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\cmd_len_rom[2]' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:0$3212'.
  4964. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\cmd_len_rom[3]' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:0$3212'.
  4965. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\cmd_len_rom[4]' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:0$3212'.
  4966. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\cmd_len_rom[5]' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:0$3212'.
  4967. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\cmd_len_rom[6]' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:0$3212'.
  4968. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\cmd_len_rom[7]' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:0$3212'.
  4969. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\cmd_len_rom[8]' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:0$3212'.
  4970. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\cmd_len_rom[9]' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:0$3212'.
  4971. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\cmd_len_rom[10]' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:0$3212'.
  4972. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\cmd_len_rom[11]' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:0$3212'.
  4973. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\cmd_len_rom[12]' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:0$3212'.
  4974. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\cmd_len_rom[13]' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:0$3212'.
  4975. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\cmd_len_rom[14]' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:0$3212'.
  4976. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\cmd_len_rom[15]' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:0$3212'.
  4977. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\phy_io_o' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:714$3105'.
  4978. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\phy_io_oe' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:714$3105'.
  4979. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\phy_clk_o' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:714$3105'.
  4980. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\shift2phy_qpi_cmd$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:743$2983.$result' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:714$3105'.
  4981. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\shift2phy_qpi_cmd$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:743$2983.shift' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:714$3105'.
  4982. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\shift2phy_qpi_cmd$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:743$2983.chan' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:714$3105'.
  4983. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\shift2phy_qpi_cmd$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:743$2983.io' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:714$3105'.
  4984. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\shift2phy_qpi_cmd$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:743$2983.t' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:714$3105'.
  4985. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\shift2phy_qpi_data$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:745$2984.$result' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:714$3105'.
  4986. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\shift2phy_qpi_data$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:745$2984.shift' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:714$3105'.
  4987. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\shift2phy_qpi_data$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:745$2984.chan' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:714$3105'.
  4988. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\shift2phy_qpi_data$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:745$2984.io' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:714$3105'.
  4989. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\shift2phy_qpi_data$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:745$2984.t' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:714$3105'.
  4990. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\shift2phy_spi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:748$2985.$result' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:714$3105'.
  4991. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\shift2phy_spi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:748$2985.shift' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:714$3105'.
  4992. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\shift2phy_spi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:748$2985.base' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:714$3105'.
  4993. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\shift2phy_spi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:748$2985.chan' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:714$3105'.
  4994. Latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\io_ctrl.i' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:714$3105': $auto$proc_dlatch.cc:433:proc_dlatch$13269
  4995. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\so_ld_valid' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:544$3063'.
  4996. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\so_ld_mode' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:544$3063'.
  4997. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\so_ld_dst' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:544$3063'.
  4998. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\so_ld_cnt' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:544$3063'.
  4999. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\so_ld_src' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:544$3063'.
  5000. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$mem2reg_rd$\cmd_len_rom$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:570$2988_ADDR' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:544$3063'.
  5001. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$mem2reg_rd$\cmd_len_rom$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:570$2988_DATA' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:544$3063'.
  5002. No latch inferred for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\state_nxt' from process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:466$3036'.
  5003. No latch inferred for signal `\VexRiscv.\dBusWishbone_SEL' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4288$2381'.
  5004. No latch inferred for signal `\VexRiscv.\_zz_156_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4274$2380'.
  5005. No latch inferred for signal `\VexRiscv.\_zz_155_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4246$2369'.
  5006. No latch inferred for signal `\VexRiscv.\_zz_154_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4239$2368'.
  5007. No latch inferred for signal `\VexRiscv.\_zz_153_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4232$2367'.
  5008. No latch inferred for signal `\VexRiscv.\_zz_152_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4224$2366'.
  5009. No latch inferred for signal `\VexRiscv.\_zz_151_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4217$2365'.
  5010. No latch inferred for signal `\VexRiscv.\_zz_150_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4208$2364'.
  5011. No latch inferred for signal `\VexRiscv.\_zz_149_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4199$2363'.
  5012. No latch inferred for signal `\VexRiscv.\_zz_148_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4190$2362'.
  5013. No latch inferred for signal `\VexRiscv.\_zz_145_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4136$2305'.
  5014. No latch inferred for signal `\VexRiscv.\memory_DivPlugin_div_counter_valueNext' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4117$2295'.
  5015. No latch inferred for signal `\VexRiscv.\memory_DivPlugin_div_counter_willClear' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4108$2292'.
  5016. No latch inferred for signal `\VexRiscv.\memory_DivPlugin_div_counter_willIncrement' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4099$2291'.
  5017. No latch inferred for signal `\VexRiscv.\execute_MulPlugin_bSigned' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4077$2287'.
  5018. No latch inferred for signal `\VexRiscv.\execute_MulPlugin_aSigned' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4063$2286'.
  5019. No latch inferred for signal `\VexRiscv.\execute_CsrPlugin_writeData' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4049$2281'.
  5020. No latch inferred for signal `\VexRiscv.\CsrPlugin_selfException_payload_code' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4029$2268'.
  5021. No latch inferred for signal `\VexRiscv.\CsrPlugin_selfException_valid' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4022$2267'.
  5022. No latch inferred for signal `\VexRiscv.\execute_CsrPlugin_illegalInstruction' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4013$2263'.
  5023. No latch inferred for signal `\VexRiscv.\execute_CsrPlugin_illegalAccess' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3963$2258'.
  5024. No latch inferred for signal `\VexRiscv.\CsrPlugin_xtvec_base' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3950$2256'.
  5025. No latch inferred for signal `\VexRiscv.\CsrPlugin_xtvec_mode' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3939$2255'.
  5026. No latch inferred for signal `\VexRiscv.\CsrPlugin_trapCause' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3932$2254'.
  5027. No latch inferred for signal `\VexRiscv.\CsrPlugin_targetPrivilege' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3925$2253'.
  5028. No latch inferred for signal `\VexRiscv.\CsrPlugin_pipelineLiberator_done' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3914$2249'.
  5029. No latch inferred for signal `\VexRiscv.\CsrPlugin_exceptionPortCtrl_exceptionValids_writeBack' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3900$2245'.
  5030. No latch inferred for signal `\VexRiscv.\CsrPlugin_exceptionPortCtrl_exceptionValids_memory' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3890$2244'.
  5031. No latch inferred for signal `\VexRiscv.\CsrPlugin_exceptionPortCtrl_exceptionValids_execute' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3880$2243'.
  5032. No latch inferred for signal `\VexRiscv.\CsrPlugin_privilege' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3864$2237'.
  5033. No latch inferred for signal `\VexRiscv.\_zz_137_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3838$2233'.
  5034. No latch inferred for signal `\VexRiscv.\_zz_135_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3823$2232'.
  5035. No latch inferred for signal `\VexRiscv.\execute_BranchPlugin_branch_src2' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3808$2229'.
  5036. No latch inferred for signal `\VexRiscv.\_zz_133_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3785$2228'.
  5037. No latch inferred for signal `\VexRiscv.\execute_BranchPlugin_branch_src1' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3773$2227'.
  5038. No latch inferred for signal `\VexRiscv.\_zz_131_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3758$2224'.
  5039. No latch inferred for signal `\VexRiscv.\_zz_130_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3736$2223'.
  5040. No latch inferred for signal `\VexRiscv.\_zz_128_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3721$2222'.
  5041. No latch inferred for signal `\VexRiscv.\_zz_126_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3697$2221'.
  5042. No latch inferred for signal `\VexRiscv.\_zz_124_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3679$2220'.
  5043. No latch inferred for signal `\VexRiscv.\_zz_123_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3667$2213'.
  5044. No latch inferred for signal `\VexRiscv.\_zz_112_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3631$2204'.
  5045. No latch inferred for signal `\VexRiscv.\_zz_111_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3603$2202'.
  5046. No latch inferred for signal `\VexRiscv.\_zz_110_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3568$2201'.
  5047. No latch inferred for signal `\VexRiscv.\_zz_109_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3532$2198'.
  5048. No latch inferred for signal `\VexRiscv.\execute_SrcPlugin_addSub' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3523$2194'.
  5049. No latch inferred for signal `\VexRiscv.\_zz_108_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3506$2193'.
  5050. No latch inferred for signal `\VexRiscv.\_zz_107_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3483$2192'.
  5051. No latch inferred for signal `\VexRiscv.\_zz_105_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3459$2191'.
  5052. No latch inferred for signal `\VexRiscv.\_zz_103_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3441$2190'.
  5053. No latch inferred for signal `\VexRiscv.\_zz_102_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3427$2189'.
  5054. No latch inferred for signal `\VexRiscv.\execute_IntAluPlugin_bitwise' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3413$2185'.
  5055. No latch inferred for signal `\VexRiscv.\lastStageRegFileWrite_valid' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3404$2183'.
  5056. No latch inferred for signal `\VexRiscv.\writeBack_DBusSimplePlugin_rspFormated' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3350$2167'.
  5057. No latch inferred for signal `\VexRiscv.\_zz_87_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3330$2166'.
  5058. No latch inferred for signal `\VexRiscv.\_zz_85_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3301$2163'.
  5059. No latch inferred for signal `\VexRiscv.\writeBack_DBusSimplePlugin_rspShifted' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3283$2160'.
  5060. No latch inferred for signal `\VexRiscv.\DBusSimplePlugin_redoBranch_valid' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3272$2159'.
  5061. No latch inferred for signal `\VexRiscv.\DBusSimplePlugin_memoryExceptionPort_payload_code' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3262$2156'.
  5062. No latch inferred for signal `\VexRiscv.\DBusSimplePlugin_memoryExceptionPort_valid' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3248$2155'.
  5063. No latch inferred for signal `\VexRiscv.\_zz_83_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3228$2150'.
  5064. No latch inferred for signal `\VexRiscv.\_zz_82_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3213$2149'.
  5065. No latch inferred for signal `\VexRiscv.\execute_DBusSimplePlugin_skipCmd' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3200$2138'.
  5066. No latch inferred for signal `\VexRiscv.\_zz_164_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3183$2134'.
  5067. No latch inferred for signal `\VexRiscv.\IBusCachedPlugin_rsp_redoFetch' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3173$2133'.
  5068. No latch inferred for signal `\VexRiscv.\iBus_cmd_payload_address' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3158$2123'.
  5069. No latch inferred for signal `\VexRiscv.\_zz_79_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3134$2119'.
  5070. No latch inferred for signal `\VexRiscv.\_zz_77_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3119$2118'.
  5071. No latch inferred for signal `\VexRiscv.\_zz_75_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3106$2116'.
  5072. No latch inferred for signal `\VexRiscv.\_zz_74_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3084$2115'.
  5073. No latch inferred for signal `\VexRiscv.\_zz_72_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3069$2114'.
  5074. No latch inferred for signal `\VexRiscv.\IBusCachedPlugin_decodePrediction_cmd_hadBranch' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3061$2109'.
  5075. No latch inferred for signal `\VexRiscv.\_zz_70_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3039$2108'.
  5076. No latch inferred for signal `\VexRiscv.\IBusCachedPlugin_iBusRsp_readyForError' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3025$2105'.
  5077. No latch inferred for signal `\VexRiscv.\IBusCachedPlugin_iBusRsp_stages_2_halt' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3002$2090'.
  5078. No latch inferred for signal `\VexRiscv.\IBusCachedPlugin_iBusRsp_stages_1_halt' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2991$2086'.
  5079. No latch inferred for signal `\VexRiscv.\IBusCachedPlugin_iBusRsp_stages_0_halt' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2980$2082'.
  5080. No latch inferred for signal `\VexRiscv.\IBusCachedPlugin_iBusRsp_redoFetch' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2970$2081'.
  5081. No latch inferred for signal `\VexRiscv.\IBusCachedPlugin_fetchPc_flushed' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2958$2078'.
  5082. No latch inferred for signal `\VexRiscv.\IBusCachedPlugin_fetchPc_pc' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2946$2076'.
  5083. No latch inferred for signal `\VexRiscv.\IBusCachedPlugin_fetchPc_pcRegPropagate' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2939$2075'.
  5084. No latch inferred for signal `\VexRiscv.\IBusCachedPlugin_fetchPc_correction' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2928$2073'.
  5085. No latch inferred for signal `\VexRiscv.\CsrPlugin_jumpInterface_payload' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2901$2066'.
  5086. No latch inferred for signal `\VexRiscv.\CsrPlugin_jumpInterface_valid' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2891$2065'.
  5087. No latch inferred for signal `\VexRiscv.\IBusCachedPlugin_incomingInstruction' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2882$2063'.
  5088. No latch inferred for signal `\VexRiscv.\IBusCachedPlugin_fetcherHalt' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2869$2061'.
  5089. No latch inferred for signal `\VexRiscv.\writeBack_arbitration_flushNext' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2855$2060'.
  5090. No latch inferred for signal `\VexRiscv.\writeBack_arbitration_removeIt' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2847$2059'.
  5091. No latch inferred for signal `\VexRiscv.\memory_arbitration_flushNext' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2832$2058'.
  5092. No latch inferred for signal `\VexRiscv.\memory_arbitration_flushIt' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2825$2057'.
  5093. No latch inferred for signal `\VexRiscv.\memory_arbitration_removeIt' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2815$2056'.
  5094. No latch inferred for signal `\VexRiscv.\memory_arbitration_haltItself' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2802$2046'.
  5095. No latch inferred for signal `\VexRiscv.\execute_arbitration_flushNext' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2795$2045'.
  5096. No latch inferred for signal `\VexRiscv.\execute_arbitration_removeIt' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2784$2044'.
  5097. No latch inferred for signal `\VexRiscv.\execute_arbitration_haltItself' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2771$2036'.
  5098. No latch inferred for signal `\VexRiscv.\decode_arbitration_flushNext' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2764$2035'.
  5099. No latch inferred for signal `\VexRiscv.\decode_arbitration_removeIt' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2756$2034'.
  5100. No latch inferred for signal `\VexRiscv.\decode_arbitration_haltByOther' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2743$2024'.
  5101. No latch inferred for signal `\VexRiscv.\decode_arbitration_haltItself' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2736$2021'.
  5102. No latch inferred for signal `\VexRiscv.\_zz_54_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2726$2020'.
  5103. No latch inferred for signal `\VexRiscv.\_zz_53_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2716$2019'.
  5104. No latch inferred for signal `\VexRiscv.\_zz_51__0' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2707$2018'.
  5105. No latch inferred for signal `\VexRiscv.\_zz_51_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2700$2017'.
  5106. No latch inferred for signal `\VexRiscv.\_zz_50_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2652$2007'.
  5107. No latch inferred for signal `\VexRiscv.\decode_REGFILE_WRITE_VALID' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2644$2005'.
  5108. No latch inferred for signal `\VexRiscv.\_zz_42_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2636$2003'.
  5109. No latch inferred for signal `\VexRiscv.\_zz_32_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2599$2002'.
  5110. No latch inferred for signal `\VexRiscv.\decode_RS1' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2568$2000'.
  5111. No latch inferred for signal `\VexRiscv.\decode_RS2' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2538$1998'.
  5112. No latch inferred for signal `\VexRiscv.\_zz_31_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2525$1997'.
  5113. No latch inferred for signal `\VexRiscv.\_zz_167_' from process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1765$1977'.
  5114. No latch inferred for signal `\InstructionCache.\lineLoader_wayToAllocate_willIncrement' from process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:219$1752'.
  5115. No latch inferred for signal `\InstructionCache.\io_cpu_prefetch_haltIt' from process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:203$1747'.
  5116. No latch inferred for signal `\InstructionCache.\lineLoader_fire' from process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:194$1745'.
  5117. No latch inferred for signal `\InstructionCache.\_zz_2_' from process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:186$1744'.
  5118. No latch inferred for signal `\InstructionCache.\_zz_1_' from process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:179$1743'.
  5119. No latch inferred for signal `$paramod$c937df4c258ba3feed83404fb87e6cc4fb9fbffd\ice40_iserdes.\shift_in[0]' from process `$paramod$c937df4c258ba3feed83404fb87e6cc4fb9fbffd\ice40_iserdes.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_iserdes.v:0$4397'.
  5120. No latch inferred for signal `$paramod$c937df4c258ba3feed83404fb87e6cc4fb9fbffd\ice40_iserdes.\shift_out[0]' from process `$paramod$c937df4c258ba3feed83404fb87e6cc4fb9fbffd\ice40_iserdes.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_iserdes.v:0$4397'.
  5121. No latch inferred for signal `$paramod$c937df4c258ba3feed83404fb87e6cc4fb9fbffd\ice40_iserdes.\fcap_in[0]' from process `$paramod$c937df4c258ba3feed83404fb87e6cc4fb9fbffd\ice40_iserdes.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_iserdes.v:0$4397'.
  5122. No latch inferred for signal `$paramod$c937df4c258ba3feed83404fb87e6cc4fb9fbffd\ice40_iserdes.\fcap_out[0]' from process `$paramod$c937df4c258ba3feed83404fb87e6cc4fb9fbffd\ice40_iserdes.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_iserdes.v:0$4397'.
  5123. No latch inferred for signal `$paramod$c937df4c258ba3feed83404fb87e6cc4fb9fbffd\ice40_iserdes.\fcap_out[1]' from process `$paramod$c937df4c258ba3feed83404fb87e6cc4fb9fbffd\ice40_iserdes.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_iserdes.v:0$4397'.
  5124. No latch inferred for signal `\vid_top.\wb_rdata' from process `\vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:307$1657'.
  5125. No latch inferred for signal `$paramod$14e5d95e036b4742363dfea0c94e50791839025a\ice40_iserdes.\shift_in[0]' from process `$paramod$14e5d95e036b4742363dfea0c94e50791839025a\ice40_iserdes.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_iserdes.v:0$4376'.
  5126. No latch inferred for signal `$paramod$14e5d95e036b4742363dfea0c94e50791839025a\ice40_iserdes.\shift_out[0]' from process `$paramod$14e5d95e036b4742363dfea0c94e50791839025a\ice40_iserdes.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_iserdes.v:0$4376'.
  5127. No latch inferred for signal `$paramod$14e5d95e036b4742363dfea0c94e50791839025a\ice40_iserdes.\fcap_in[0]' from process `$paramod$14e5d95e036b4742363dfea0c94e50791839025a\ice40_iserdes.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_iserdes.v:0$4376'.
  5128. No latch inferred for signal `$paramod$14e5d95e036b4742363dfea0c94e50791839025a\ice40_iserdes.\fcap_out[0]' from process `$paramod$14e5d95e036b4742363dfea0c94e50791839025a\ice40_iserdes.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_iserdes.v:0$4376'.
  5129. No latch inferred for signal `$paramod$14e5d95e036b4742363dfea0c94e50791839025a\ice40_iserdes.\fcap_out[1]' from process `$paramod$14e5d95e036b4742363dfea0c94e50791839025a\ice40_iserdes.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_iserdes.v:0$4376'.
  5130. No latch inferred for signal `$paramod$67159177885044a3572460fa032eb51bd80cf94f\ice40_iserdes.\shift_in[0]' from process `$paramod$67159177885044a3572460fa032eb51bd80cf94f\ice40_iserdes.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_iserdes.v:0$4355'.
  5131. No latch inferred for signal `$paramod$67159177885044a3572460fa032eb51bd80cf94f\ice40_iserdes.\shift_out[0]' from process `$paramod$67159177885044a3572460fa032eb51bd80cf94f\ice40_iserdes.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_iserdes.v:0$4355'.
  5132. No latch inferred for signal `$paramod$67159177885044a3572460fa032eb51bd80cf94f\ice40_iserdes.\fcap_in[0]' from process `$paramod$67159177885044a3572460fa032eb51bd80cf94f\ice40_iserdes.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_iserdes.v:0$4355'.
  5133. No latch inferred for signal `$paramod$67159177885044a3572460fa032eb51bd80cf94f\ice40_iserdes.\fcap_out[0]' from process `$paramod$67159177885044a3572460fa032eb51bd80cf94f\ice40_iserdes.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_iserdes.v:0$4355'.
  5134. No latch inferred for signal `$paramod$67159177885044a3572460fa032eb51bd80cf94f\ice40_iserdes.\fcap_out[1]' from process `$paramod$67159177885044a3572460fa032eb51bd80cf94f\ice40_iserdes.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_iserdes.v:0$4355'.
  5135. No latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_do_m[0]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:0$3673'.
  5136. No latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_do[0]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:0$3673'.
  5137. No latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_do[1]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:0$3673'.
  5138. No latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_di[0]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:0$3673'.
  5139. No latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_di[1]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:0$3673'.
  5140. No latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_dm[0]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:0$3673'.
  5141. No latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_dm[1]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:0$3673'.
  5142. No latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\rd_data' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557'.
  5143. No latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\map.n' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557'.
  5144. No latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\map.x' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557'.
  5145. No latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\map.o' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557'.
  5146. No latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$bitselwrite$rvalue$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93$3524' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557'.
  5147. No latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$bitselwrite$rvalue$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:94$3525' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557'.
  5148. No latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$bitselwrite$rvalue$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93$3526' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557'.
  5149. No latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$bitselwrite$rvalue$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:94$3527' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557'.
  5150. No latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$bitselwrite$rvalue$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93$3528' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557'.
  5151. No latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$bitselwrite$rvalue$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:94$3529' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557'.
  5152. No latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$bitselwrite$rvalue$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93$3530' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557'.
  5153. No latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$bitselwrite$rvalue$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:94$3531' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557'.
  5154. No latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$bitselwrite$rvalue$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93$3532' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557'.
  5155. No latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$bitselwrite$rvalue$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:94$3533' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557'.
  5156. No latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$bitselwrite$rvalue$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93$3534' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557'.
  5157. No latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$bitselwrite$rvalue$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:94$3535' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557'.
  5158. No latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$bitselwrite$rvalue$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93$3536' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557'.
  5159. No latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$bitselwrite$rvalue$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:94$3537' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557'.
  5160. No latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$bitselwrite$rvalue$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:93$3538' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557'.
  5161. No latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$bitselwrite$rvalue$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:94$3539' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557'.
  5162. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_di_w [0]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$13480
  5163. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_di_w [1]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$13691
  5164. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_di_w [2]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$13902
  5165. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_di_w [3]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$14113
  5166. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_di_w [4]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$14324
  5167. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_di_w [5]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$14535
  5168. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_di_w [6]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$14746
  5169. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_di_w [7]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$14957
  5170. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_di_w [8]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$15168
  5171. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_di_w [9]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$15379
  5172. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_di_w [10]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$15590
  5173. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_di_w [11]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$15801
  5174. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_di_w [12]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$16012
  5175. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_di_w [13]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$16223
  5176. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_di_w [14]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$16434
  5177. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_di_w [15]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$16645
  5178. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_di_w [16]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$16856
  5179. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_di_w [17]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$17067
  5180. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_di_w [18]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$17278
  5181. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_di_w [19]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$17489
  5182. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_di_w [20]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$17700
  5183. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_di_w [21]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$17911
  5184. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_di_w [22]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$18122
  5185. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_di_w [23]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$18333
  5186. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_di_w [24]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$18544
  5187. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_di_w [25]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$18755
  5188. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_di_w [26]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$18966
  5189. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_di_w [27]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$19177
  5190. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_di_w [28]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$19388
  5191. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_di_w [29]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$19599
  5192. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_di_w [30]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$19810
  5193. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_di_w [31]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$20021
  5194. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_dm_w [0]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$20088
  5195. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_dm_w [1]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$20155
  5196. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_dm_w [2]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$20222
  5197. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_dm_w [3]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$20289
  5198. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_dm_w [4]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$20356
  5199. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_dm_w [5]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$20423
  5200. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_dm_w [6]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$20490
  5201. Latch inferred for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\mem_dm_w [7]' from process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557': $auto$proc_dlatch.cc:433:proc_dlatch$20557
  5202. No latch inferred for signal `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.\rst_init.i' from process `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:0$4073'.
  5203. No latch inferred for signal `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$memwr$\rst_cnt_nxt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:47$4031_EN' from process `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:0$4073'.
  5204. No latch inferred for signal `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$memwr$\rst_cnt_nxt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:47$4032_EN' from process `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:0$4073'.
  5205. No latch inferred for signal `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$memwr$\rst_cnt_nxt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:47$4033_EN' from process `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:0$4073'.
  5206. No latch inferred for signal `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$memwr$\rst_cnt_nxt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:47$4034_EN' from process `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:0$4073'.
  5207. No latch inferred for signal `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$memwr$\rst_cnt_nxt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:47$4035_EN' from process `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:0$4073'.
  5208. No latch inferred for signal `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$memwr$\rst_cnt_nxt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:47$4036_EN' from process `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:0$4073'.
  5209. No latch inferred for signal `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$memwr$\rst_cnt_nxt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:47$4037_EN' from process `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:0$4073'.
  5210. No latch inferred for signal `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$memwr$\rst_cnt_nxt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:47$4038_EN' from process `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:0$4073'.
  5211. No latch inferred for signal `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$memwr$\rst_cnt_nxt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:47$4039_EN' from process `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:0$4073'.
  5212. No latch inferred for signal `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$memwr$\rst_cnt_nxt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:47$4040_EN' from process `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:0$4073'.
  5213. No latch inferred for signal `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$memwr$\rst_cnt_nxt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:47$4041_EN' from process `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:0$4073'.
  5214. No latch inferred for signal `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$memwr$\rst_cnt_nxt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:47$4042_EN' from process `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:0$4073'.
  5215. No latch inferred for signal `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$memwr$\rst_cnt_nxt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:47$4043_EN' from process `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:0$4073'.
  5216. No latch inferred for signal `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$memwr$\rst_cnt_nxt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:47$4044_EN' from process `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:0$4073'.
  5217. No latch inferred for signal `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$memwr$\rst_cnt_nxt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:47$4045_EN' from process `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:0$4073'.
  5218. No latch inferred for signal `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$memwr$\rst_cnt_nxt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:47$4046_EN' from process `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:0$4073'.
  5219. No latch inferred for signal `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.\d_wb_ack' from process `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:241$3428'.
  5220. No latch inferred for signal `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.\state_nxt' from process `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:137$3390'.
  5221. 63.3.9. Executing PROC_DFF pass (convert process syncs to FFs).
  5222. Creating register for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\resp_ack' using process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:503$4617'.
  5223. created $adff cell `$procdff$20558' with positive edge clock and positive level reset.
  5224. Creating register for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\resp_nak' using process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:503$4617'.
  5225. created $adff cell `$procdff$20559' with positive edge clock and positive level reset.
  5226. Creating register for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\ev_way_r' using process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:347$4556'.
  5227. created $dff cell `$procdff$20560' with positive edge clock.
  5228. Creating register for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\ev_valid_r' using process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:347$4556'.
  5229. created $dff cell `$procdff$20561' with positive edge clock.
  5230. Creating register for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\ev_tag_r' using process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:347$4556'.
  5231. created $dff cell `$procdff$20562' with positive edge clock.
  5232. Creating register for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\req_addr' using process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:237$4532'.
  5233. created $dff cell `$procdff$20563' with positive edge clock.
  5234. Creating register for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\cnt_ofs' using process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:220$4524'.
  5235. created $dff cell `$procdff$20564' with positive edge clock.
  5236. Creating register for signal `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.\ctrl_state' using process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:167$4513'.
  5237. created $adff cell `$procdff$20565' with positive edge clock and positive level reset.
  5238. Creating register for signal `$paramod$1becf43d58396d216852697b52a37807eb7ec9e3\delay_bus.\dl[3]' using process `$paramod$1becf43d58396d216852697b52a37807eb7ec9e3\delay_bus.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/delay.v:63$4445'.
  5239. created $dff cell `$procdff$20566' with positive edge clock.
  5240. Creating register for signal `$paramod$1becf43d58396d216852697b52a37807eb7ec9e3\delay_bus.\dl[2]' using process `$paramod$1becf43d58396d216852697b52a37807eb7ec9e3\delay_bus.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/delay.v:63$4444'.
  5241. created $dff cell `$procdff$20567' with positive edge clock.
  5242. Creating register for signal `$paramod$1becf43d58396d216852697b52a37807eb7ec9e3\delay_bus.\dl[1]' using process `$paramod$1becf43d58396d216852697b52a37807eb7ec9e3\delay_bus.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/delay.v:63$4443'.
  5243. created $dff cell `$procdff$20568' with positive edge clock.
  5244. Creating register for signal `$paramod$1becf43d58396d216852697b52a37807eb7ec9e3\delay_bus.\dl[0]' using process `$paramod$1becf43d58396d216852697b52a37807eb7ec9e3\delay_bus.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/delay.v:58$4442'.
  5245. created $dff cell `$procdff$20569' with positive edge clock.
  5246. Creating register for signal `$paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001100.\ack' using process `$paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:67$4441'.
  5247. created $dff cell `$procdff$20570' with positive edge clock.
  5248. Creating register for signal `$paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001100.\shift' using process `$paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:58$4440'.
  5249. created $adff cell `$procdff$20571' with positive edge clock and positive level reset.
  5250. Creating register for signal `$paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001100.\bit_cnt' using process `$paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:51$4437'.
  5251. created $dff cell `$procdff$20572' with positive edge clock.
  5252. Creating register for signal `$paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001100.\div_cnt' using process `$paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:42$4433'.
  5253. created $dff cell `$procdff$20573' with positive edge clock.
  5254. Creating register for signal `$paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001100.\active' using process `$paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:35$4429'.
  5255. created $adff cell `$procdff$20574' with positive edge clock and positive level reset.
  5256. Creating register for signal `$paramod$cae5572c97b54b153bd196ca558b9ddbfed34bda\uart_rx.\stb' using process `$paramod$cae5572c97b54b153bd196ca558b9ddbfed34bda\uart_rx.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:102$4423'.
  5257. created $dff cell `$procdff$20575' with positive edge clock.
  5258. Creating register for signal `$paramod$cae5572c97b54b153bd196ca558b9ddbfed34bda\uart_rx.\shift' using process `$paramod$cae5572c97b54b153bd196ca558b9ddbfed34bda\uart_rx.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:95$4422'.
  5259. created $dff cell `$procdff$20576' with positive edge clock.
  5260. Creating register for signal `$paramod$cae5572c97b54b153bd196ca558b9ddbfed34bda\uart_rx.\bit_cnt' using process `$paramod$cae5572c97b54b153bd196ca558b9ddbfed34bda\uart_rx.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:88$4419'.
  5261. created $dff cell `$procdff$20577' with positive edge clock.
  5262. Creating register for signal `$paramod$cae5572c97b54b153bd196ca558b9ddbfed34bda\uart_rx.\div_cnt' using process `$paramod$cae5572c97b54b153bd196ca558b9ddbfed34bda\uart_rx.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:77$4415'.
  5263. created $dff cell `$procdff$20578' with positive edge clock.
  5264. Creating register for signal `$paramod$cae5572c97b54b153bd196ca558b9ddbfed34bda\uart_rx.\active' using process `$paramod$cae5572c97b54b153bd196ca558b9ddbfed34bda\uart_rx.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:70$4411'.
  5265. created $adff cell `$procdff$20579' with positive edge clock and positive level reset.
  5266. Creating register for signal `$paramod$3ebcb2129805027011086c045e6bb4ce235a9e88\ram_sdp.\rd_data' using process `$paramod$3ebcb2129805027011086c045e6bb4ce235a9e88\ram_sdp.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v:39$4400'.
  5267. created $dff cell `$procdff$20580' with positive edge clock.
  5268. Creating register for signal `$paramod$3ebcb2129805027011086c045e6bb4ce235a9e88\ram_sdp.$memwr$\ram$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v:47$4399_ADDR' using process `$paramod$3ebcb2129805027011086c045e6bb4ce235a9e88\ram_sdp.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v:39$4400'.
  5269. created $dff cell `$procdff$20581' with positive edge clock.
  5270. Creating register for signal `$paramod$3ebcb2129805027011086c045e6bb4ce235a9e88\ram_sdp.$memwr$\ram$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v:47$4399_DATA' using process `$paramod$3ebcb2129805027011086c045e6bb4ce235a9e88\ram_sdp.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v:39$4400'.
  5271. created $dff cell `$procdff$20582' with positive edge clock.
  5272. Creating register for signal `$paramod$3ebcb2129805027011086c045e6bb4ce235a9e88\ram_sdp.$memwr$\ram$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v:47$4399_EN' using process `$paramod$3ebcb2129805027011086c045e6bb4ce235a9e88\ram_sdp.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v:39$4400'.
  5273. created $dff cell `$procdff$20583' with positive edge clock.
  5274. Creating register for signal `$paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram.\rd_valid' using process `$paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:116$3982'.
  5275. created $adff cell `$procdff$20584' with positive edge clock and positive level reset.
  5276. Creating register for signal `$paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram.\ram_rd_addr' using process `$paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:108$3976'.
  5277. created $adff cell `$procdff$20585' with positive edge clock and positive level reset.
  5278. Creating register for signal `$paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram.\ram_wr_addr' using process `$paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:95$3974'.
  5279. created $adff cell `$procdff$20586' with positive edge clock and positive level reset.
  5280. Creating register for signal `$paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram.\full' using process `$paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:83$3965'.
  5281. created $adff cell `$procdff$20587' with positive edge clock and positive level reset.
  5282. Creating register for signal `$paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram.\level' using process `$paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:67$3959'.
  5283. created $adff cell `$procdff$20588' with positive edge clock and positive level reset.
  5284. Creating register for signal `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.\vid_hsync' using process `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:179$3945'.
  5285. created $adff cell `$procdff$20589' with positive edge clock and positive level reset.
  5286. Creating register for signal `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.\vid_vsync' using process `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:179$3945'.
  5287. created $adff cell `$procdff$20590' with positive edge clock and positive level reset.
  5288. Creating register for signal `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.\vid_active' using process `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:179$3945'.
  5289. created $adff cell `$procdff$20591' with positive edge clock and positive level reset.
  5290. Creating register for signal `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.\vid_h_first' using process `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:179$3945'.
  5291. created $adff cell `$procdff$20592' with positive edge clock and positive level reset.
  5292. Creating register for signal `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.\vid_h_last' using process `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:179$3945'.
  5293. created $adff cell `$procdff$20593' with positive edge clock and positive level reset.
  5294. Creating register for signal `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.\vid_v_first' using process `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:179$3945'.
  5295. created $adff cell `$procdff$20594' with positive edge clock and positive level reset.
  5296. Creating register for signal `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.\vid_v_last' using process `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:179$3945'.
  5297. created $adff cell `$procdff$20595' with positive edge clock and positive level reset.
  5298. Creating register for signal `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.\v_zone' using process `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:141$3942'.
  5299. created $adff cell `$procdff$20596' with positive edge clock and positive level reset.
  5300. Creating register for signal `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.\v_first' using process `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:135$3941'.
  5301. created $adff cell `$procdff$20597' with positive edge clock and positive level reset.
  5302. Creating register for signal `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.\v_ce_r' using process `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:132$3940'.
  5303. created $dff cell `$procdff$20598' with positive edge clock.
  5304. Creating register for signal `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.\h_cnt' using process `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:121$3936'.
  5305. created $adff cell `$procdff$20599' with positive edge clock and positive level reset.
  5306. Creating register for signal `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.\h_zone' using process `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:102$3933'.
  5307. created $adff cell `$procdff$20600' with positive edge clock and positive level reset.
  5308. Creating register for signal `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.\h_first' using process `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:96$3932'.
  5309. created $adff cell `$procdff$20601' with positive edge clock and positive level reset.
  5310. Creating register for signal `$paramod\delay_bit\DELAY=s32'00000000000000000000000000000100.\dl' using process `$paramod\delay_bit\DELAY=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/delay.v:30$3930'.
  5311. created $dff cell `$procdff$20602' with positive edge clock.
  5312. Creating register for signal `$paramod$8b22e2c4b4d1e8b8ca3524a85d03e9beac836863\fifo_sync_shift.\stage[1].l_valid' using process `$paramod$8b22e2c4b4d1e8b8ca3524a85d03e9beac836863\fifo_sync_shift.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v:64$3884'.
  5313. created $adff cell `$procdff$20603' with positive edge clock and positive level reset.
  5314. Creating register for signal `$paramod$8b22e2c4b4d1e8b8ca3524a85d03e9beac836863\fifo_sync_shift.\stage[1].l_data' using process `$paramod$8b22e2c4b4d1e8b8ca3524a85d03e9beac836863\fifo_sync_shift.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v:57$3882'.
  5315. created $adff cell `$procdff$20604' with positive edge clock and positive level reset.
  5316. Creating register for signal `$paramod$dafc3a7f4a5bcc8b3adb4c007b6f574c47147813\fifo_sync_shift.\stage[1].l_valid' using process `$paramod$dafc3a7f4a5bcc8b3adb4c007b6f574c47147813\fifo_sync_shift.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v:64$3871'.
  5317. created $adff cell `$procdff$20605' with positive edge clock and positive level reset.
  5318. Creating register for signal `$paramod$dafc3a7f4a5bcc8b3adb4c007b6f574c47147813\fifo_sync_shift.\stage[1].l_data' using process `$paramod$dafc3a7f4a5bcc8b3adb4c007b6f574c47147813\fifo_sync_shift.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v:57$3869'.
  5319. created $adff cell `$procdff$20606' with positive edge clock and positive level reset.
  5320. Creating register for signal `$paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter.\sync' using process `$paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/glitch_filter.v:53$3514'.
  5321. created $dff cell `$procdff$20607' with positive edge clock.
  5322. Creating register for signal `$paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter.\rise' using process `$paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/glitch_filter.v:95$3509'.
  5323. created $dff cell `$procdff$20608' with positive edge clock.
  5324. Creating register for signal `$paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter.\fall' using process `$paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/glitch_filter.v:95$3509'.
  5325. created $dff cell `$procdff$20609' with positive edge clock.
  5326. Creating register for signal `$paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter.\state' using process `$paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/glitch_filter.v:80$3505'.
  5327. created $dff cell `$procdff$20610' with positive edge clock.
  5328. Creating register for signal `$paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter.\cnt' using process `$paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/glitch_filter.v:70$3501'.
  5329. created $dff cell `$procdff$20611' with positive edge clock.
  5330. Creating register for signal `$paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram.\rdata' using process `$paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:31$3353'.
  5331. created $dff cell `$procdff$20612' with positive edge clock.
  5332. Creating register for signal `$paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram.$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:33$3349_ADDR' using process `$paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:31$3353'.
  5333. created $dff cell `$procdff$20613' with positive edge clock.
  5334. Creating register for signal `$paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram.$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:33$3349_DATA' using process `$paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:31$3353'.
  5335. created $dff cell `$procdff$20614' with positive edge clock.
  5336. Creating register for signal `$paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram.$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:33$3349_EN' using process `$paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:31$3353'.
  5337. created $dff cell `$procdff$20615' with positive edge clock.
  5338. Creating register for signal `$paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram.$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:34$3350_ADDR' using process `$paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:31$3353'.
  5339. created $dff cell `$procdff$20616' with positive edge clock.
  5340. Creating register for signal `$paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram.$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:34$3350_DATA' using process `$paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:31$3353'.
  5341. created $dff cell `$procdff$20617' with positive edge clock.
  5342. Creating register for signal `$paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram.$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:34$3350_EN' using process `$paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:31$3353'.
  5343. created $dff cell `$procdff$20618' with positive edge clock.
  5344. Creating register for signal `$paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram.$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:35$3351_ADDR' using process `$paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:31$3353'.
  5345. created $dff cell `$procdff$20619' with positive edge clock.
  5346. Creating register for signal `$paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram.$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:35$3351_DATA' using process `$paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:31$3353'.
  5347. created $dff cell `$procdff$20620' with positive edge clock.
  5348. Creating register for signal `$paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram.$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:35$3351_EN' using process `$paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:31$3353'.
  5349. created $dff cell `$procdff$20621' with positive edge clock.
  5350. Creating register for signal `$paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram.$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:36$3352_ADDR' using process `$paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:31$3353'.
  5351. created $dff cell `$procdff$20622' with positive edge clock.
  5352. Creating register for signal `$paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram.$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:36$3352_DATA' using process `$paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:31$3353'.
  5353. created $dff cell `$procdff$20623' with positive edge clock.
  5354. Creating register for signal `$paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram.$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:36$3352_EN' using process `$paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:31$3353'.
  5355. created $dff cell `$procdff$20624' with positive edge clock.
  5356. Creating register for signal `$paramod$8cc0a9cf304a487149b7985624e92ee239a362b6\mc_tag_ram.\w_addr_r' using process `$paramod$8cc0a9cf304a487149b7985624e92ee239a362b6\mc_tag_ram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_tag_ram.v:114$4450'.
  5357. created $dff cell `$procdff$20625' with positive edge clock.
  5358. Creating register for signal `$paramod$8cc0a9cf304a487149b7985624e92ee239a362b6\mc_tag_ram.\w_val_r' using process `$paramod$8cc0a9cf304a487149b7985624e92ee239a362b6\mc_tag_ram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_tag_ram.v:114$4450'.
  5359. created $dff cell `$procdff$20626' with positive edge clock.
  5360. Creating register for signal `$paramod$8cc0a9cf304a487149b7985624e92ee239a362b6\mc_tag_ram.\w_msk_r' using process `$paramod$8cc0a9cf304a487149b7985624e92ee239a362b6\mc_tag_ram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_tag_ram.v:114$4450'.
  5361. created $dff cell `$procdff$20627' with positive edge clock.
  5362. Creating register for signal `$paramod$8cc0a9cf304a487149b7985624e92ee239a362b6\mc_tag_ram.\w_ena_r' using process `$paramod$8cc0a9cf304a487149b7985624e92ee239a362b6\mc_tag_ram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_tag_ram.v:114$4450'.
  5363. created $dff cell `$procdff$20628' with positive edge clock.
  5364. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\phy_cs_o' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:615$3181'.
  5365. created $dff cell `$procdff$20629' with positive edge clock.
  5366. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$bitselwrite$pos$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:625$2978' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:615$3181'.
  5367. created $dff cell `$procdff$20630' with positive edge clock.
  5368. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$bitselwrite$pos$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:627$2979' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:615$3181'.
  5369. created $dff cell `$procdff$20631' with positive edge clock.
  5370. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$lookahead\phy_cs_o$3180' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:615$3181'.
  5371. created $dff cell `$procdff$20632' with positive edge clock.
  5372. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\si_data_n' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:773$3158'.
  5373. created $dff cell `$procdff$20633' with positive edge clock.
  5374. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\phy2shift_qpi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:779$2986.$result' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:773$3158'.
  5375. created $dff cell `$procdff$20634' with positive edge clock.
  5376. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\phy2shift_qpi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:779$2986.prev' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:773$3158'.
  5377. created $dff cell `$procdff$20635' with positive edge clock.
  5378. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\phy2shift_qpi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:779$2986.phy' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:773$3158'.
  5379. created $dff cell `$procdff$20636' with positive edge clock.
  5380. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\phy2shift_qpi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:779$2986.chan' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:773$3158'.
  5381. created $dff cell `$procdff$20637' with positive edge clock.
  5382. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\phy2shift_qpi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:779$2986.t' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:773$3158'.
  5383. created $dff cell `$procdff$20638' with positive edge clock.
  5384. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\phy2shift_qpi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:779$2986.io' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:773$3158'.
  5385. created $dff cell `$procdff$20639' with positive edge clock.
  5386. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\phy2shift_spi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:781$2987.$result' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:773$3158'.
  5387. created $dff cell `$procdff$20640' with positive edge clock.
  5388. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\phy2shift_spi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:781$2987.prev' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:773$3158'.
  5389. created $dff cell `$procdff$20641' with positive edge clock.
  5390. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\phy2shift_spi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:781$2987.phy' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:773$3158'.
  5391. created $dff cell `$procdff$20642' with positive edge clock.
  5392. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\phy2shift_spi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:781$2987.chan' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:773$3158'.
  5393. created $dff cell `$procdff$20643' with positive edge clock.
  5394. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\si_dst_1' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:758$3151'.
  5395. created $dff cell `$procdff$20644' with positive edge clock.
  5396. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\so_data' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:700$3085'.
  5397. created $dff cell `$procdff$20645' with positive edge clock.
  5398. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\shift_spi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:703$2980.$result' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:700$3085'.
  5399. created $dff cell `$procdff$20646' with positive edge clock.
  5400. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\shift_spi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:703$2980.shift' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:700$3085'.
  5401. created $dff cell `$procdff$20647' with positive edge clock.
  5402. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\shift_spi$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:703$2980.chan' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:700$3085'.
  5403. created $dff cell `$procdff$20648' with positive edge clock.
  5404. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\shift_qpi_data$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:704$2981.$result' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:700$3085'.
  5405. created $dff cell `$procdff$20649' with positive edge clock.
  5406. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\shift_qpi_data$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:704$2981.shift' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:700$3085'.
  5407. created $dff cell `$procdff$20650' with positive edge clock.
  5408. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\shift_qpi_data$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:704$2981.chan' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:700$3085'.
  5409. created $dff cell `$procdff$20651' with positive edge clock.
  5410. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\shift_qpi_cmd$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:705$2982.$result' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:700$3085'.
  5411. created $dff cell `$procdff$20652' with positive edge clock.
  5412. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\shift_qpi_cmd$func$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:705$2982.shift' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:700$3085'.
  5413. created $dff cell `$procdff$20653' with positive edge clock.
  5414. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\so_cnt' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:691$3083'.
  5415. created $dff cell `$procdff$20654' with positive edge clock.
  5416. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\so_mode' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:684$3082'.
  5417. created $dff cell `$procdff$20655' with positive edge clock.
  5418. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\so_dst' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:684$3082'.
  5419. created $dff cell `$procdff$20656' with positive edge clock.
  5420. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\so_valid' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:677$3077'.
  5421. created $dff cell `$procdff$20657' with positive edge clock.
  5422. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\pause_cnt' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:515$3051'.
  5423. created $dff cell `$procdff$20658' with positive edge clock.
  5424. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\xfer_cnt' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:506$3043'.
  5425. created $dff cell `$procdff$20659' with positive edge clock.
  5426. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\state' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:459$3035'.
  5427. created $dff cell `$procdff$20660' with positive edge clock.
  5428. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\rf_overflow' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:447$3029'.
  5429. created $dff cell `$procdff$20661' with positive edge clock.
  5430. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\wb_rdata' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:373$3025'.
  5431. created $dff cell `$procdff$20662' with positive edge clock.
  5432. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\rf_rden_arm' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:363$3017'.
  5433. created $dff cell `$procdff$20663' with positive edge clock.
  5434. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\cf_wren' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:359$3010'.
  5435. created $dff cell `$procdff$20664' with positive edge clock.
  5436. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\rf_overflow_clr' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:344$3008'.
  5437. created $dff cell `$procdff$20665' with positive edge clock.
  5438. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\ectl_cs' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:337$3005'.
  5439. created $dff cell `$procdff$20666' with positive edge clock.
  5440. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\ectl_req' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:331$3001'.
  5441. created $dff cell `$procdff$20667' with positive edge clock.
  5442. Creating register for signal `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.\wb_ack' using process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:314$2989'.
  5443. created $dff cell `$procdff$20668' with positive edge clock.
  5444. Creating register for signal `$paramod$9a9e2282df54acafd6229f692355382480e5c2ad\qpi_phy_ice40_4x.\iob_cs_o' using process `$paramod$9a9e2282df54acafd6229f692355382480e5c2ad\qpi_phy_ice40_4x.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_phy_ice40_4x.v:163$2977'.
  5445. created $dff cell `$procdff$20669' with positive edge clock.
  5446. Creating register for signal `$paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.\uart_div' using process `$paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:193$2973'.
  5447. created $dff cell `$procdff$20670' with positive edge clock.
  5448. Creating register for signal `$paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.\ub_rdata' using process `$paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:185$2971'.
  5449. created $dff cell `$procdff$20671' with positive edge clock.
  5450. Creating register for signal `$paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.\ub_ack' using process `$paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:177$2961'.
  5451. created $dff cell `$procdff$20672' with positive edge clock.
  5452. Creating register for signal `$paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.\ub_rd_data' using process `$paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:164$2944'.
  5453. created $dff cell `$procdff$20673' with positive edge clock.
  5454. Creating register for signal `$paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.\ub_rd_ctrl' using process `$paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:164$2944'.
  5455. created $dff cell `$procdff$20674' with positive edge clock.
  5456. Creating register for signal `$paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.\ub_wr_data' using process `$paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:164$2944'.
  5457. created $dff cell `$procdff$20675' with positive edge clock.
  5458. Creating register for signal `$paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.\ub_wr_div' using process `$paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:164$2944'.
  5459. created $dff cell `$procdff$20676' with positive edge clock.
  5460. Creating register for signal `$paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.\urf_overflow' using process `$paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:154$2939'.
  5461. created $adff cell `$procdff$20677' with positive edge clock and positive level reset.
  5462. Creating register for signal `$paramod$cfcaad11b8c62f8a1e1624a27a246465a59e45e7\ice40_rgb_wb.\led_ctrl' using process `$paramod$cfcaad11b8c62f8a1e1624a27a246465a59e45e7\ice40_rgb_wb.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_rgb_wb.v:94$2932'.
  5463. created $adff cell `$procdff$20678' with positive edge clock and positive level reset.
  5464. Creating register for signal `\SB_DFFNES.\Q' using process `\SB_DFFNES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1414$2791'.
  5465. created $adff cell `$procdff$20679' with negative edge clock and positive level reset.
  5466. Creating register for signal `\SB_DFFNESS.\Q' using process `\SB_DFFNESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1353$2786'.
  5467. created $dff cell `$procdff$20680' with negative edge clock.
  5468. Creating register for signal `\SB_DFFNER.\Q' using process `\SB_DFFNER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1273$2782'.
  5469. created $adff cell `$procdff$20681' with negative edge clock and positive level reset.
  5470. Creating register for signal `\SB_DFFNESR.\Q' using process `\SB_DFFNESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1212$2777'.
  5471. created $dff cell `$procdff$20682' with negative edge clock.
  5472. Creating register for signal `\SB_DFFNS.\Q' using process `\SB_DFFNS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1138$2774'.
  5473. created $adff cell `$procdff$20683' with negative edge clock and positive level reset.
  5474. Creating register for signal `\SB_DFFNSS.\Q' using process `\SB_DFFNSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1088$2771'.
  5475. created $dff cell `$procdff$20684' with negative edge clock.
  5476. Creating register for signal `\SB_DFFNR.\Q' using process `\SB_DFFNR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1017$2768'.
  5477. created $adff cell `$procdff$20685' with negative edge clock and positive level reset.
  5478. Creating register for signal `\SB_DFFNSR.\Q' using process `\SB_DFFNSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:967$2765'.
  5479. created $dff cell `$procdff$20686' with negative edge clock.
  5480. Creating register for signal `\SB_DFFNE.\Q' using process `\SB_DFFNE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:922$2763'.
  5481. created $dff cell `$procdff$20687' with negative edge clock.
  5482. Creating register for signal `\SB_DFFN.\Q' using process `\SB_DFFN.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:882$2761'.
  5483. created $dff cell `$procdff$20688' with negative edge clock.
  5484. Creating register for signal `\SB_DFFES.\Q' using process `\SB_DFFES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:803$2757'.
  5485. created $adff cell `$procdff$20689' with positive edge clock and positive level reset.
  5486. Creating register for signal `\SB_DFFESS.\Q' using process `\SB_DFFESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:742$2752'.
  5487. created $dff cell `$procdff$20690' with positive edge clock.
  5488. Creating register for signal `\SB_DFFER.\Q' using process `\SB_DFFER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:662$2748'.
  5489. created $adff cell `$procdff$20691' with positive edge clock and positive level reset.
  5490. Creating register for signal `\SB_DFFESR.\Q' using process `\SB_DFFESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:601$2743'.
  5491. created $dff cell `$procdff$20692' with positive edge clock.
  5492. Creating register for signal `\SB_DFFS.\Q' using process `\SB_DFFS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:527$2740'.
  5493. created $adff cell `$procdff$20693' with positive edge clock and positive level reset.
  5494. Creating register for signal `\SB_DFFSS.\Q' using process `\SB_DFFSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:477$2737'.
  5495. created $dff cell `$procdff$20694' with positive edge clock.
  5496. Creating register for signal `\SB_DFFR.\Q' using process `\SB_DFFR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:406$2734'.
  5497. created $adff cell `$procdff$20695' with positive edge clock and positive level reset.
  5498. Creating register for signal `\SB_DFFSR.\Q' using process `\SB_DFFSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:356$2731'.
  5499. created $dff cell `$procdff$20696' with positive edge clock.
  5500. Creating register for signal `\SB_DFFE.\Q' using process `\SB_DFFE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:311$2729'.
  5501. created $dff cell `$procdff$20697' with positive edge clock.
  5502. Creating register for signal `\SB_DFF.\Q' using process `\SB_DFF.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:271$2727'.
  5503. created $dff cell `$procdff$20698' with positive edge clock.
  5504. Creating register for signal `\VexRiscv.\_zz_68_' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5505. created $dff cell `$procdff$20699' with positive edge clock.
  5506. Creating register for signal `\VexRiscv.\IBusCachedPlugin_s1_tightlyCoupledHit' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5507. created $dff cell `$procdff$20700' with positive edge clock.
  5508. Creating register for signal `\VexRiscv.\IBusCachedPlugin_s2_tightlyCoupledHit' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5509. created $dff cell `$procdff$20701' with positive edge clock.
  5510. Creating register for signal `\VexRiscv.\_zz_114_' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5511. created $dff cell `$procdff$20702' with positive edge clock.
  5512. Creating register for signal `\VexRiscv.\_zz_115_' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5513. created $dff cell `$procdff$20703' with positive edge clock.
  5514. Creating register for signal `\VexRiscv.\CsrPlugin_mtvec_mode' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5515. created $dff cell `$procdff$20704' with positive edge clock.
  5516. Creating register for signal `\VexRiscv.\CsrPlugin_mtvec_base' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5517. created $dff cell `$procdff$20705' with positive edge clock.
  5518. Creating register for signal `\VexRiscv.\CsrPlugin_mepc' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5519. created $dff cell `$procdff$20706' with positive edge clock.
  5520. Creating register for signal `\VexRiscv.\CsrPlugin_mip_MEIP' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5521. created $dff cell `$procdff$20707' with positive edge clock.
  5522. Creating register for signal `\VexRiscv.\CsrPlugin_mip_MTIP' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5523. created $dff cell `$procdff$20708' with positive edge clock.
  5524. Creating register for signal `\VexRiscv.\CsrPlugin_mip_MSIP' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5525. created $dff cell `$procdff$20709' with positive edge clock.
  5526. Creating register for signal `\VexRiscv.\CsrPlugin_mcause_interrupt' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5527. created $dff cell `$procdff$20710' with positive edge clock.
  5528. Creating register for signal `\VexRiscv.\CsrPlugin_mcause_exceptionCode' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5529. created $dff cell `$procdff$20711' with positive edge clock.
  5530. Creating register for signal `\VexRiscv.\CsrPlugin_mtval' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5531. created $dff cell `$procdff$20712' with positive edge clock.
  5532. Creating register for signal `\VexRiscv.\CsrPlugin_mcycle' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5533. created $dff cell `$procdff$20713' with positive edge clock.
  5534. Creating register for signal `\VexRiscv.\CsrPlugin_minstret' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5535. created $dff cell `$procdff$20714' with positive edge clock.
  5536. Creating register for signal `\VexRiscv.\CsrPlugin_exceptionPortCtrl_exceptionContext_code' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5537. created $dff cell `$procdff$20715' with positive edge clock.
  5538. Creating register for signal `\VexRiscv.\CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5539. created $dff cell `$procdff$20716' with positive edge clock.
  5540. Creating register for signal `\VexRiscv.\CsrPlugin_interrupt_code' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5541. created $dff cell `$procdff$20717' with positive edge clock.
  5542. Creating register for signal `\VexRiscv.\CsrPlugin_interrupt_targetPrivilege' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5543. created $dff cell `$procdff$20718' with positive edge clock.
  5544. Creating register for signal `\VexRiscv.\memory_DivPlugin_rs1' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5545. created $dff cell `$procdff$20719' with positive edge clock.
  5546. Creating register for signal `\VexRiscv.\memory_DivPlugin_rs2' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5547. created $dff cell `$procdff$20720' with positive edge clock.
  5548. Creating register for signal `\VexRiscv.\memory_DivPlugin_accumulator' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5549. created $dff cell `$procdff$20721' with positive edge clock.
  5550. Creating register for signal `\VexRiscv.\memory_DivPlugin_div_needRevert' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5551. created $dff cell `$procdff$20722' with positive edge clock.
  5552. Creating register for signal `\VexRiscv.\memory_DivPlugin_div_done' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5553. created $dff cell `$procdff$20723' with positive edge clock.
  5554. Creating register for signal `\VexRiscv.\memory_DivPlugin_div_result' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5555. created $dff cell `$procdff$20724' with positive edge clock.
  5556. Creating register for signal `\VexRiscv.\externalInterruptArray_regNext' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5557. created $dff cell `$procdff$20725' with positive edge clock.
  5558. Creating register for signal `\VexRiscv.\execute_to_memory_MUL_HH' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5559. created $dff cell `$procdff$20726' with positive edge clock.
  5560. Creating register for signal `\VexRiscv.\memory_to_writeBack_MUL_HH' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5561. created $dff cell `$procdff$20727' with positive edge clock.
  5562. Creating register for signal `\VexRiscv.\decode_to_execute_RS1' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5563. created $dff cell `$procdff$20728' with positive edge clock.
  5564. Creating register for signal `\VexRiscv.\decode_to_execute_PREDICTION_HAD_BRANCHED2' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5565. created $dff cell `$procdff$20729' with positive edge clock.
  5566. Creating register for signal `\VexRiscv.\decode_to_execute_MEMORY_STORE' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5567. created $dff cell `$procdff$20730' with positive edge clock.
  5568. Creating register for signal `\VexRiscv.\execute_to_memory_MEMORY_STORE' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5569. created $dff cell `$procdff$20731' with positive edge clock.
  5570. Creating register for signal `\VexRiscv.\memory_to_writeBack_MEMORY_STORE' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5571. created $dff cell `$procdff$20732' with positive edge clock.
  5572. Creating register for signal `\VexRiscv.\decode_to_execute_MEMORY_ENABLE' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5573. created $dff cell `$procdff$20733' with positive edge clock.
  5574. Creating register for signal `\VexRiscv.\execute_to_memory_MEMORY_ENABLE' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5575. created $dff cell `$procdff$20734' with positive edge clock.
  5576. Creating register for signal `\VexRiscv.\memory_to_writeBack_MEMORY_ENABLE' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5577. created $dff cell `$procdff$20735' with positive edge clock.
  5578. Creating register for signal `\VexRiscv.\decode_to_execute_IS_MUL' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5579. created $dff cell `$procdff$20736' with positive edge clock.
  5580. Creating register for signal `\VexRiscv.\execute_to_memory_IS_MUL' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5581. created $dff cell `$procdff$20737' with positive edge clock.
  5582. Creating register for signal `\VexRiscv.\memory_to_writeBack_IS_MUL' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5583. created $dff cell `$procdff$20738' with positive edge clock.
  5584. Creating register for signal `\VexRiscv.\decode_to_execute_CSR_READ_OPCODE' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5585. created $dff cell `$procdff$20739' with positive edge clock.
  5586. Creating register for signal `\VexRiscv.\decode_to_execute_SRC2_FORCE_ZERO' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5587. created $dff cell `$procdff$20740' with positive edge clock.
  5588. Creating register for signal `\VexRiscv.\execute_to_memory_MUL_LL' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5589. created $dff cell `$procdff$20741' with positive edge clock.
  5590. Creating register for signal `\VexRiscv.\execute_to_memory_MMU_RSP_physicalAddress' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5591. created $dff cell `$procdff$20742' with positive edge clock.
  5592. Creating register for signal `\VexRiscv.\execute_to_memory_MMU_RSP_isIoAccess' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5593. created $dff cell `$procdff$20743' with positive edge clock.
  5594. Creating register for signal `\VexRiscv.\execute_to_memory_MMU_RSP_allowRead' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5595. created $dff cell `$procdff$20744' with positive edge clock.
  5596. Creating register for signal `\VexRiscv.\execute_to_memory_MMU_RSP_allowWrite' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5597. created $dff cell `$procdff$20745' with positive edge clock.
  5598. Creating register for signal `\VexRiscv.\execute_to_memory_MMU_RSP_allowExecute' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5599. created $dff cell `$procdff$20746' with positive edge clock.
  5600. Creating register for signal `\VexRiscv.\execute_to_memory_MMU_RSP_exception' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5601. created $dff cell `$procdff$20747' with positive edge clock.
  5602. Creating register for signal `\VexRiscv.\execute_to_memory_MMU_RSP_refilling' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5603. created $dff cell `$procdff$20748' with positive edge clock.
  5604. Creating register for signal `\VexRiscv.\decode_to_execute_SRC_USE_SUB_LESS' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5605. created $dff cell `$procdff$20749' with positive edge clock.
  5606. Creating register for signal `\VexRiscv.\decode_to_execute_BRANCH_CTRL' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5607. created $dff cell `$procdff$20750' with positive edge clock.
  5608. Creating register for signal `\VexRiscv.\decode_to_execute_INSTRUCTION' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5609. created $dff cell `$procdff$20751' with positive edge clock.
  5610. Creating register for signal `\VexRiscv.\execute_to_memory_INSTRUCTION' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5611. created $dff cell `$procdff$20752' with positive edge clock.
  5612. Creating register for signal `\VexRiscv.\execute_to_memory_SHIFT_RIGHT' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5613. created $dff cell `$procdff$20753' with positive edge clock.
  5614. Creating register for signal `\VexRiscv.\decode_to_execute_FORMAL_PC_NEXT' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5615. created $dff cell `$procdff$20754' with positive edge clock.
  5616. Creating register for signal `\VexRiscv.\execute_to_memory_FORMAL_PC_NEXT' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5617. created $dff cell `$procdff$20755' with positive edge clock.
  5618. Creating register for signal `\VexRiscv.\memory_to_writeBack_FORMAL_PC_NEXT' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5619. created $dff cell `$procdff$20756' with positive edge clock.
  5620. Creating register for signal `\VexRiscv.\decode_to_execute_SHIFT_CTRL' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5621. created $dff cell `$procdff$20757' with positive edge clock.
  5622. Creating register for signal `\VexRiscv.\execute_to_memory_SHIFT_CTRL' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5623. created $dff cell `$procdff$20758' with positive edge clock.
  5624. Creating register for signal `\VexRiscv.\decode_to_execute_ALU_BITWISE_CTRL' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5625. created $dff cell `$procdff$20759' with positive edge clock.
  5626. Creating register for signal `\VexRiscv.\decode_to_execute_IS_DIV' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5627. created $dff cell `$procdff$20760' with positive edge clock.
  5628. Creating register for signal `\VexRiscv.\execute_to_memory_IS_DIV' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5629. created $dff cell `$procdff$20761' with positive edge clock.
  5630. Creating register for signal `\VexRiscv.\execute_to_memory_MUL_HL' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5631. created $dff cell `$procdff$20762' with positive edge clock.
  5632. Creating register for signal `\VexRiscv.\decode_to_execute_REGFILE_WRITE_VALID' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5633. created $dff cell `$procdff$20763' with positive edge clock.
  5634. Creating register for signal `\VexRiscv.\execute_to_memory_REGFILE_WRITE_VALID' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5635. created $dff cell `$procdff$20764' with positive edge clock.
  5636. Creating register for signal `\VexRiscv.\memory_to_writeBack_REGFILE_WRITE_VALID' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5637. created $dff cell `$procdff$20765' with positive edge clock.
  5638. Creating register for signal `\VexRiscv.\decode_to_execute_PC' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5639. created $dff cell `$procdff$20766' with positive edge clock.
  5640. Creating register for signal `\VexRiscv.\execute_to_memory_PC' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5641. created $dff cell `$procdff$20767' with positive edge clock.
  5642. Creating register for signal `\VexRiscv.\memory_to_writeBack_PC' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5643. created $dff cell `$procdff$20768' with positive edge clock.
  5644. Creating register for signal `\VexRiscv.\decode_to_execute_CSR_WRITE_OPCODE' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5645. created $dff cell `$procdff$20769' with positive edge clock.
  5646. Creating register for signal `\VexRiscv.\decode_to_execute_SRC_LESS_UNSIGNED' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5647. created $dff cell `$procdff$20770' with positive edge clock.
  5648. Creating register for signal `\VexRiscv.\decode_to_execute_ALU_CTRL' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5649. created $dff cell `$procdff$20771' with positive edge clock.
  5650. Creating register for signal `\VexRiscv.\decode_to_execute_BYPASSABLE_MEMORY_STAGE' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5651. created $dff cell `$procdff$20772' with positive edge clock.
  5652. Creating register for signal `\VexRiscv.\execute_to_memory_BYPASSABLE_MEMORY_STAGE' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5653. created $dff cell `$procdff$20773' with positive edge clock.
  5654. Creating register for signal `\VexRiscv.\execute_to_memory_REGFILE_WRITE_DATA' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5655. created $dff cell `$procdff$20774' with positive edge clock.
  5656. Creating register for signal `\VexRiscv.\decode_to_execute_IS_CSR' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5657. created $dff cell `$procdff$20775' with positive edge clock.
  5658. Creating register for signal `\VexRiscv.\execute_to_memory_BRANCH_CALC' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5659. created $dff cell `$procdff$20776' with positive edge clock.
  5660. Creating register for signal `\VexRiscv.\decode_to_execute_ENV_CTRL' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5661. created $dff cell `$procdff$20777' with positive edge clock.
  5662. Creating register for signal `\VexRiscv.\execute_to_memory_ENV_CTRL' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5663. created $dff cell `$procdff$20778' with positive edge clock.
  5664. Creating register for signal `\VexRiscv.\memory_to_writeBack_ENV_CTRL' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5665. created $dff cell `$procdff$20779' with positive edge clock.
  5666. Creating register for signal `\VexRiscv.\decode_to_execute_BYPASSABLE_EXECUTE_STAGE' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5667. created $dff cell `$procdff$20780' with positive edge clock.
  5668. Creating register for signal `\VexRiscv.\memory_to_writeBack_MUL_LOW' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5669. created $dff cell `$procdff$20781' with positive edge clock.
  5670. Creating register for signal `\VexRiscv.\execute_to_memory_BRANCH_DO' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5671. created $dff cell `$procdff$20782' with positive edge clock.
  5672. Creating register for signal `\VexRiscv.\execute_to_memory_MMU_FAULT' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5673. created $dff cell `$procdff$20783' with positive edge clock.
  5674. Creating register for signal `\VexRiscv.\decode_to_execute_RS2' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5675. created $dff cell `$procdff$20784' with positive edge clock.
  5676. Creating register for signal `\VexRiscv.\decode_to_execute_SRC1_CTRL' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5677. created $dff cell `$procdff$20785' with positive edge clock.
  5678. Creating register for signal `\VexRiscv.\execute_to_memory_MEMORY_ADDRESS_LOW' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5679. created $dff cell `$procdff$20786' with positive edge clock.
  5680. Creating register for signal `\VexRiscv.\memory_to_writeBack_MEMORY_ADDRESS_LOW' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5681. created $dff cell `$procdff$20787' with positive edge clock.
  5682. Creating register for signal `\VexRiscv.\decode_to_execute_IS_RS1_SIGNED' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5683. created $dff cell `$procdff$20788' with positive edge clock.
  5684. Creating register for signal `\VexRiscv.\decode_to_execute_IS_RS2_SIGNED' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5685. created $dff cell `$procdff$20789' with positive edge clock.
  5686. Creating register for signal `\VexRiscv.\decode_to_execute_SRC2_CTRL' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5687. created $dff cell `$procdff$20790' with positive edge clock.
  5688. Creating register for signal `\VexRiscv.\execute_to_memory_MUL_LH' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5689. created $dff cell `$procdff$20791' with positive edge clock.
  5690. Creating register for signal `\VexRiscv.\memory_to_writeBack_MEMORY_READ_DATA' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5691. created $dff cell `$procdff$20792' with positive edge clock.
  5692. Creating register for signal `\VexRiscv.\execute_CsrPlugin_csr_1984' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5693. created $dff cell `$procdff$20793' with positive edge clock.
  5694. Creating register for signal `\VexRiscv.\execute_CsrPlugin_csr_768' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5695. created $dff cell `$procdff$20794' with positive edge clock.
  5696. Creating register for signal `\VexRiscv.\execute_CsrPlugin_csr_836' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5697. created $dff cell `$procdff$20795' with positive edge clock.
  5698. Creating register for signal `\VexRiscv.\execute_CsrPlugin_csr_772' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5699. created $dff cell `$procdff$20796' with positive edge clock.
  5700. Creating register for signal `\VexRiscv.\execute_CsrPlugin_csr_773' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5701. created $dff cell `$procdff$20797' with positive edge clock.
  5702. Creating register for signal `\VexRiscv.\execute_CsrPlugin_csr_833' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5703. created $dff cell `$procdff$20798' with positive edge clock.
  5704. Creating register for signal `\VexRiscv.\execute_CsrPlugin_csr_834' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5705. created $dff cell `$procdff$20799' with positive edge clock.
  5706. Creating register for signal `\VexRiscv.\execute_CsrPlugin_csr_835' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5707. created $dff cell `$procdff$20800' with positive edge clock.
  5708. Creating register for signal `\VexRiscv.\execute_CsrPlugin_csr_3008' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5709. created $dff cell `$procdff$20801' with positive edge clock.
  5710. Creating register for signal `\VexRiscv.\execute_CsrPlugin_csr_4032' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5711. created $dff cell `$procdff$20802' with positive edge clock.
  5712. Creating register for signal `\VexRiscv.\dBus_cmd_halfPipe_regs_payload_wr' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5713. created $dff cell `$procdff$20803' with positive edge clock.
  5714. Creating register for signal `\VexRiscv.\dBus_cmd_halfPipe_regs_payload_address' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5715. created $dff cell `$procdff$20804' with positive edge clock.
  5716. Creating register for signal `\VexRiscv.\dBus_cmd_halfPipe_regs_payload_data' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5717. created $dff cell `$procdff$20805' with positive edge clock.
  5718. Creating register for signal `\VexRiscv.\dBus_cmd_halfPipe_regs_payload_size' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  5719. created $dff cell `$procdff$20806' with positive edge clock.
  5720. Creating register for signal `\VexRiscv.\execute_arbitration_isValid' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5721. created $adff cell `$procdff$20807' with positive edge clock and positive level reset.
  5722. Creating register for signal `\VexRiscv.\memory_arbitration_isValid' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5723. created $adff cell `$procdff$20808' with positive edge clock and positive level reset.
  5724. Creating register for signal `\VexRiscv.\writeBack_arbitration_isValid' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5725. created $adff cell `$procdff$20809' with positive edge clock and positive level reset.
  5726. Creating register for signal `\VexRiscv.\IBusCachedPlugin_fetchPc_pcReg' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5727. Warning: Async reset value `\externalResetVector' is not constant!
  5728. created $aldff cell `$procdff$20810' with positive edge clock and positive level non-const reset.
  5729. Creating register for signal `\VexRiscv.\IBusCachedPlugin_fetchPc_correctionReg' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5730. created $adff cell `$procdff$20811' with positive edge clock and positive level reset.
  5731. Creating register for signal `\VexRiscv.\IBusCachedPlugin_fetchPc_booted' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5732. created $adff cell `$procdff$20812' with positive edge clock and positive level reset.
  5733. Creating register for signal `\VexRiscv.\IBusCachedPlugin_fetchPc_inc' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5734. created $adff cell `$procdff$20813' with positive edge clock and positive level reset.
  5735. Creating register for signal `\VexRiscv.\_zz_65_' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5736. created $adff cell `$procdff$20814' with positive edge clock and positive level reset.
  5737. Creating register for signal `\VexRiscv.\_zz_67_' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5738. created $adff cell `$procdff$20815' with positive edge clock and positive level reset.
  5739. Creating register for signal `\VexRiscv.\IBusCachedPlugin_injector_nextPcCalc_valids_0' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5740. created $adff cell `$procdff$20816' with positive edge clock and positive level reset.
  5741. Creating register for signal `\VexRiscv.\IBusCachedPlugin_injector_nextPcCalc_valids_1' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5742. created $adff cell `$procdff$20817' with positive edge clock and positive level reset.
  5743. Creating register for signal `\VexRiscv.\IBusCachedPlugin_injector_nextPcCalc_valids_2' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5744. created $adff cell `$procdff$20818' with positive edge clock and positive level reset.
  5745. Creating register for signal `\VexRiscv.\IBusCachedPlugin_injector_nextPcCalc_valids_3' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5746. created $adff cell `$procdff$20819' with positive edge clock and positive level reset.
  5747. Creating register for signal `\VexRiscv.\IBusCachedPlugin_injector_nextPcCalc_valids_4' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5748. created $adff cell `$procdff$20820' with positive edge clock and positive level reset.
  5749. Creating register for signal `\VexRiscv.\IBusCachedPlugin_rspCounter' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5750. created $adff cell `$procdff$20821' with positive edge clock and positive level reset.
  5751. Creating register for signal `\VexRiscv.\RegFilePlugin_shadow_write' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5752. created $adff cell `$procdff$20822' with positive edge clock and positive level reset.
  5753. Creating register for signal `\VexRiscv.\RegFilePlugin_shadow_read' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5754. created $adff cell `$procdff$20823' with positive edge clock and positive level reset.
  5755. Creating register for signal `\VexRiscv.\RegFilePlugin_shadow_clear' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5756. created $adff cell `$procdff$20824' with positive edge clock and positive level reset.
  5757. Creating register for signal `\VexRiscv.\_zz_101_' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5758. created $adff cell `$procdff$20825' with positive edge clock and positive level reset.
  5759. Creating register for signal `\VexRiscv.\_zz_113_' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5760. created $adff cell `$procdff$20826' with positive edge clock and positive level reset.
  5761. Creating register for signal `\VexRiscv.\CsrPlugin_mstatus_MIE' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5762. created $adff cell `$procdff$20827' with positive edge clock and positive level reset.
  5763. Creating register for signal `\VexRiscv.\CsrPlugin_mstatus_MPIE' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5764. created $adff cell `$procdff$20828' with positive edge clock and positive level reset.
  5765. Creating register for signal `\VexRiscv.\CsrPlugin_mstatus_MPP' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5766. created $adff cell `$procdff$20829' with positive edge clock and positive level reset.
  5767. Creating register for signal `\VexRiscv.\CsrPlugin_mie_MEIE' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5768. created $adff cell `$procdff$20830' with positive edge clock and positive level reset.
  5769. Creating register for signal `\VexRiscv.\CsrPlugin_mie_MTIE' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5770. created $adff cell `$procdff$20831' with positive edge clock and positive level reset.
  5771. Creating register for signal `\VexRiscv.\CsrPlugin_mie_MSIE' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5772. created $adff cell `$procdff$20832' with positive edge clock and positive level reset.
  5773. Creating register for signal `\VexRiscv.\CsrPlugin_exceptionPortCtrl_exceptionValidsRegs_execute' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5774. created $adff cell `$procdff$20833' with positive edge clock and positive level reset.
  5775. Creating register for signal `\VexRiscv.\CsrPlugin_exceptionPortCtrl_exceptionValidsRegs_memory' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5776. created $adff cell `$procdff$20834' with positive edge clock and positive level reset.
  5777. Creating register for signal `\VexRiscv.\CsrPlugin_exceptionPortCtrl_exceptionValidsRegs_writeBack' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5778. created $adff cell `$procdff$20835' with positive edge clock and positive level reset.
  5779. Creating register for signal `\VexRiscv.\CsrPlugin_interrupt_valid' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5780. created $adff cell `$procdff$20836' with positive edge clock and positive level reset.
  5781. Creating register for signal `\VexRiscv.\CsrPlugin_pipelineLiberator_pcValids_0' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5782. created $adff cell `$procdff$20837' with positive edge clock and positive level reset.
  5783. Creating register for signal `\VexRiscv.\CsrPlugin_pipelineLiberator_pcValids_1' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5784. created $adff cell `$procdff$20838' with positive edge clock and positive level reset.
  5785. Creating register for signal `\VexRiscv.\CsrPlugin_pipelineLiberator_pcValids_2' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5786. created $adff cell `$procdff$20839' with positive edge clock and positive level reset.
  5787. Creating register for signal `\VexRiscv.\CsrPlugin_hadException' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5788. created $adff cell `$procdff$20840' with positive edge clock and positive level reset.
  5789. Creating register for signal `\VexRiscv.\execute_CsrPlugin_wfiWake' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5790. created $adff cell `$procdff$20841' with positive edge clock and positive level reset.
  5791. Creating register for signal `\VexRiscv.\memory_DivPlugin_div_counter_value' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5792. created $adff cell `$procdff$20842' with positive edge clock and positive level reset.
  5793. Creating register for signal `\VexRiscv.\_zz_146_' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5794. created $adff cell `$procdff$20843' with positive edge clock and positive level reset.
  5795. Creating register for signal `\VexRiscv.\memory_to_writeBack_INSTRUCTION' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5796. created $adff cell `$procdff$20844' with positive edge clock and positive level reset.
  5797. Creating register for signal `\VexRiscv.\memory_to_writeBack_REGFILE_WRITE_DATA' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5798. created $adff cell `$procdff$20845' with positive edge clock and positive level reset.
  5799. Creating register for signal `\VexRiscv.\dBus_cmd_halfPipe_regs_valid' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5800. created $adff cell `$procdff$20846' with positive edge clock and positive level reset.
  5801. Creating register for signal `\VexRiscv.\dBus_cmd_halfPipe_regs_ready' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  5802. created $adff cell `$procdff$20847' with positive edge clock and positive level reset.
  5803. Creating register for signal `\VexRiscv.$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_ADDR' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1713$1970'.
  5804. created $dff cell `$procdff$20848' with positive edge clock.
  5805. Creating register for signal `\VexRiscv.$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_DATA' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1713$1970'.
  5806. created $dff cell `$procdff$20849' with positive edge clock.
  5807. Creating register for signal `\VexRiscv.$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_EN' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1713$1970'.
  5808. created $dff cell `$procdff$20850' with positive edge clock.
  5809. Creating register for signal `\VexRiscv.\_zz_166_' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1707$1968'.
  5810. created $dff cell `$procdff$20851' with positive edge clock.
  5811. Creating register for signal `\VexRiscv.\_zz_165_' using process `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1701$1966'.
  5812. created $dff cell `$procdff$20852' with positive edge clock.
  5813. Creating register for signal `\InstructionCache.\lineLoader_address' using process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:302$1776'.
  5814. created $dff cell `$procdff$20853' with positive edge clock.
  5815. Creating register for signal `\InstructionCache.\lineLoader_flushCounter' using process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:302$1776'.
  5816. created $dff cell `$procdff$20854' with positive edge clock.
  5817. Creating register for signal `\InstructionCache.\_zz_3_' using process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:302$1776'.
  5818. created $dff cell `$procdff$20855' with positive edge clock.
  5819. Creating register for signal `\InstructionCache.\io_cpu_fetch_data_regNextWhen' using process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:302$1776'.
  5820. created $dff cell `$procdff$20856' with positive edge clock.
  5821. Creating register for signal `\InstructionCache.\decodeStage_mmuRsp_physicalAddress' using process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:302$1776'.
  5822. created $dff cell `$procdff$20857' with positive edge clock.
  5823. Creating register for signal `\InstructionCache.\decodeStage_mmuRsp_isIoAccess' using process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:302$1776'.
  5824. created $dff cell `$procdff$20858' with positive edge clock.
  5825. Creating register for signal `\InstructionCache.\decodeStage_mmuRsp_allowRead' using process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:302$1776'.
  5826. created $dff cell `$procdff$20859' with positive edge clock.
  5827. Creating register for signal `\InstructionCache.\decodeStage_mmuRsp_allowWrite' using process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:302$1776'.
  5828. created $dff cell `$procdff$20860' with positive edge clock.
  5829. Creating register for signal `\InstructionCache.\decodeStage_mmuRsp_allowExecute' using process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:302$1776'.
  5830. created $dff cell `$procdff$20861' with positive edge clock.
  5831. Creating register for signal `\InstructionCache.\decodeStage_mmuRsp_exception' using process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:302$1776'.
  5832. created $dff cell `$procdff$20862' with positive edge clock.
  5833. Creating register for signal `\InstructionCache.\decodeStage_mmuRsp_refilling' using process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:302$1776'.
  5834. created $dff cell `$procdff$20863' with positive edge clock.
  5835. Creating register for signal `\InstructionCache.\decodeStage_hit_valid' using process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:302$1776'.
  5836. created $dff cell `$procdff$20864' with positive edge clock.
  5837. Creating register for signal `\InstructionCache.\decodeStage_hit_error' using process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:302$1776'.
  5838. created $dff cell `$procdff$20865' with positive edge clock.
  5839. Creating register for signal `\InstructionCache.\lineLoader_valid' using process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:264$1773'.
  5840. created $adff cell `$procdff$20866' with positive edge clock and positive level reset.
  5841. Creating register for signal `\InstructionCache.\lineLoader_hadError' using process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:264$1773'.
  5842. created $adff cell `$procdff$20867' with positive edge clock and positive level reset.
  5843. Creating register for signal `\InstructionCache.\lineLoader_flushPending' using process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:264$1773'.
  5844. created $adff cell `$procdff$20868' with positive edge clock and positive level reset.
  5845. Creating register for signal `\InstructionCache.\lineLoader_cmdSent' using process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:264$1773'.
  5846. created $adff cell `$procdff$20869' with positive edge clock and positive level reset.
  5847. Creating register for signal `\InstructionCache.\lineLoader_wordIndex' using process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:264$1773'.
  5848. created $adff cell `$procdff$20870' with positive edge clock and positive level reset.
  5849. Creating register for signal `\InstructionCache.\_zz_11_' using process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:173$1741'.
  5850. created $dff cell `$procdff$20871' with positive edge clock.
  5851. Creating register for signal `\InstructionCache.$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_ADDR' using process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:167$1734'.
  5852. created $dff cell `$procdff$20872' with positive edge clock.
  5853. Creating register for signal `\InstructionCache.$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_DATA' using process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:167$1734'.
  5854. created $dff cell `$procdff$20873' with positive edge clock.
  5855. Creating register for signal `\InstructionCache.$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_EN' using process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:167$1734'.
  5856. created $dff cell `$procdff$20874' with positive edge clock.
  5857. Creating register for signal `\InstructionCache.\_zz_10_' using process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:161$1732'.
  5858. created $dff cell `$procdff$20875' with positive edge clock.
  5859. Creating register for signal `\InstructionCache.$memwr$\ways_0_tags$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:157$1719_ADDR' using process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:155$1725'.
  5860. created $dff cell `$procdff$20876' with positive edge clock.
  5861. Creating register for signal `\InstructionCache.$memwr$\ways_0_tags$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:157$1719_DATA' using process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:155$1725'.
  5862. created $dff cell `$procdff$20877' with positive edge clock.
  5863. Creating register for signal `\InstructionCache.$memwr$\ways_0_tags$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:157$1719_EN' using process `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:155$1725'.
  5864. created $dff cell `$procdff$20878' with positive edge clock.
  5865. Creating register for signal `\vid_top.\wb_ack' using process `\vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:303$1651'.
  5866. created $dff cell `$procdff$20879' with positive edge clock.
  5867. Creating register for signal `\vid_top.\pp_data_3' using process `\vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:253$1644'.
  5868. created $dff cell `$procdff$20880' with positive edge clock.
  5869. Creating register for signal `\vid_top.\pp_data_load_2' using process `\vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:250$1643'.
  5870. created $dff cell `$procdff$20881' with positive edge clock.
  5871. Creating register for signal `\vid_top.\pp_addr_cur_1' using process `\vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:239$1636'.
  5872. created $dff cell `$procdff$20882' with positive edge clock.
  5873. Creating register for signal `\vid_top.\pp_addr_base_1' using process `\vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:231$1633'.
  5874. created $dff cell `$procdff$20883' with positive edge clock.
  5875. Creating register for signal `\vid_top.\pp_active_1' using process `\vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:224$1629'.
  5876. created $dff cell `$procdff$20884' with positive edge clock.
  5877. Creating register for signal `\vid_top.\pp_xdbl_1' using process `\vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:224$1629'.
  5878. created $dff cell `$procdff$20885' with positive edge clock.
  5879. Creating register for signal `\vid_top.\pp_ydbl_1' using process `\vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:200$1628'.
  5880. created $dff cell `$procdff$20886' with positive edge clock.
  5881. Creating register for signal `\vid_top.\pp_yscale_state' using process `\vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:200$1628'.
  5882. created $dff cell `$procdff$20887' with positive edge clock.
  5883. Creating register for signal `\vid_top.\vs_frame_cnt' using process `\vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:178$1625'.
  5884. created $dff cell `$procdff$20888' with positive edge clock.
  5885. Creating register for signal `\vid_top.\vs_in_vbl' using process `\vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:175$1620'.
  5886. created $dff cell `$procdff$20889' with positive edge clock.
  5887. Creating register for signal `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.\addr_r' using process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:73$3556'.
  5888. created $dff cell `$procdff$20890' with positive edge clock.
  5889. Creating register for signal `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.\clk_div' using process `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:74$4053'.
  5890. created $adff cell `$procdff$20891' with positive edge clock and negative level reset.
  5891. Creating register for signal `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.\rst_i' using process `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:57$4050'.
  5892. created $adff cell `$procdff$20892' with positive edge clock and negative level reset.
  5893. Creating register for signal `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.\rst_cnt' using process `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:50$4047'.
  5894. created $adff cell `$procdff$20893' with positive edge clock and negative level reset.
  5895. Creating register for signal `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.\wb_cyc' using process `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:294$3451'.
  5896. created $dff cell `$procdff$20894' with positive edge clock.
  5897. Creating register for signal `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.\wb_cyc_proc.i' using process `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:294$3451'.
  5898. created $dff cell `$procdff$20895' with positive edge clock.
  5899. Creating register for signal `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.\wb_ack_i' using process `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:291$3449'.
  5900. created $dff cell `$procdff$20896' with positive edge clock.
  5901. Creating register for signal `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.\req_new' using process `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:268$3436'.
  5902. created $dff cell `$procdff$20897' with positive edge clock.
  5903. Creating register for signal `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.\ib_addr_cnt' using process `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:221$3416'.
  5904. created $dff cell `$procdff$20898' with positive edge clock.
  5905. Creating register for signal `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.\rdata_io' using process `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:198$3408'.
  5906. created $dff cell `$procdff$20899' with positive edge clock.
  5907. Creating register for signal `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.\rdata.i' using process `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:198$3408'.
  5908. created $dff cell `$procdff$20900' with positive edge clock.
  5909. Creating register for signal `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.\ctrl_is_ibus' using process `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:175$3395'.
  5910. created $dff cell `$procdff$20901' with positive edge clock.
  5911. Creating register for signal `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.\ctrl_is_dbus' using process `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:175$3395'.
  5912. created $dff cell `$procdff$20902' with positive edge clock.
  5913. Creating register for signal `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.\ctrl_is_cache' using process `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:175$3395'.
  5914. created $dff cell `$procdff$20903' with positive edge clock.
  5915. Creating register for signal `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.\ctrl_is_ram' using process `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:175$3395'.
  5916. created $dff cell `$procdff$20904' with positive edge clock.
  5917. Creating register for signal `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.\ctrl_is_io' using process `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:175$3395'.
  5918. created $dff cell `$procdff$20905' with positive edge clock.
  5919. Creating register for signal `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.\state' using process `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:130$3389'.
  5920. created $dff cell `$procdff$20906' with positive edge clock.
  5921. 63.3.10. Executing PROC_MEMWR pass (convert process memory writes to cells).
  5922. 63.3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
  5923. Removing empty process `$paramod$0e9701ec0ff3618e82c6b3c7773f21bce1c1aa12\ice40_iserdes.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_iserdes.v:0$4334'.
  5924. Removing empty process `$paramod$f1ce7771bad0baf70eccc6d92471271ce6f4b9b5\ice40_ebr.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_ebr.v:0$4660'.
  5925. Removing empty process `$paramod$f1ce7771bad0baf70eccc6d92471271ce6f4b9b5\ice40_ebr.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_ebr.v:0$4656'.
  5926. Removing empty process `$paramod$f1ce7771bad0baf70eccc6d92471271ce6f4b9b5\ice40_ebr.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_ebr.v:0$4652'.
  5927. Removing empty process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4639'.
  5928. Removing empty process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4634'.
  5929. Found and cleaned up 1 empty switch in `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4631'.
  5930. Removing empty process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4631'.
  5931. Found and cleaned up 1 empty switch in `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4628'.
  5932. Removing empty process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4628'.
  5933. Found and cleaned up 1 empty switch in `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4625'.
  5934. Removing empty process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:0$4625'.
  5935. Removing empty process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:503$4617'.
  5936. Found and cleaned up 1 empty switch in `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:476$4615'.
  5937. Removing empty process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:476$4615'.
  5938. Removing empty process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:441$4602'.
  5939. Found and cleaned up 21 empty switches in `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:396$4577'.
  5940. Removing empty process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:396$4577'.
  5941. Found and cleaned up 1 empty switch in `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:364$4560'.
  5942. Removing empty process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:364$4560'.
  5943. Found and cleaned up 1 empty switch in `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:347$4556'.
  5944. Removing empty process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:347$4556'.
  5945. Found and cleaned up 3 empty switches in `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:330$4546'.
  5946. Removing empty process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:330$4546'.
  5947. Found and cleaned up 3 empty switches in `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:309$4541'.
  5948. Removing empty process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:309$4541'.
  5949. Found and cleaned up 1 empty switch in `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:237$4532'.
  5950. Removing empty process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:237$4532'.
  5951. Found and cleaned up 2 empty switches in `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:220$4524'.
  5952. Removing empty process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:220$4524'.
  5953. Found and cleaned up 5 empty switches in `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:174$4514'.
  5954. Removing empty process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:174$4514'.
  5955. Removing empty process `$paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:167$4513'.
  5956. Removing empty process `$paramod$1becf43d58396d216852697b52a37807eb7ec9e3\delay_bus.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/delay.v:63$4445'.
  5957. Removing empty process `$paramod$1becf43d58396d216852697b52a37807eb7ec9e3\delay_bus.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/delay.v:63$4444'.
  5958. Removing empty process `$paramod$1becf43d58396d216852697b52a37807eb7ec9e3\delay_bus.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/delay.v:63$4443'.
  5959. Removing empty process `$paramod$1becf43d58396d216852697b52a37807eb7ec9e3\delay_bus.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/delay.v:58$4442'.
  5960. Removing empty process `$paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:67$4441'.
  5961. Found and cleaned up 2 empty switches in `$paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:58$4440'.
  5962. Removing empty process `$paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:58$4440'.
  5963. Found and cleaned up 2 empty switches in `$paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:51$4437'.
  5964. Removing empty process `$paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:51$4437'.
  5965. Found and cleaned up 1 empty switch in `$paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:42$4433'.
  5966. Removing empty process `$paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:42$4433'.
  5967. Removing empty process `$paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:35$4429'.
  5968. Removing empty process `$paramod$cae5572c97b54b153bd196ca558b9ddbfed34bda\uart_rx.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:102$4423'.
  5969. Found and cleaned up 1 empty switch in `$paramod$cae5572c97b54b153bd196ca558b9ddbfed34bda\uart_rx.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:95$4422'.
  5970. Removing empty process `$paramod$cae5572c97b54b153bd196ca558b9ddbfed34bda\uart_rx.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:95$4422'.
  5971. Found and cleaned up 2 empty switches in `$paramod$cae5572c97b54b153bd196ca558b9ddbfed34bda\uart_rx.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:88$4419'.
  5972. Removing empty process `$paramod$cae5572c97b54b153bd196ca558b9ddbfed34bda\uart_rx.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:88$4419'.
  5973. Found and cleaned up 2 empty switches in `$paramod$cae5572c97b54b153bd196ca558b9ddbfed34bda\uart_rx.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:77$4415'.
  5974. Removing empty process `$paramod$cae5572c97b54b153bd196ca558b9ddbfed34bda\uart_rx.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:77$4415'.
  5975. Removing empty process `$paramod$cae5572c97b54b153bd196ca558b9ddbfed34bda\uart_rx.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:70$4411'.
  5976. Found and cleaned up 2 empty switches in `$paramod$3ebcb2129805027011086c045e6bb4ce235a9e88\ram_sdp.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v:39$4400'.
  5977. Removing empty process `$paramod$3ebcb2129805027011086c045e6bb4ce235a9e88\ram_sdp.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v:39$4400'.
  5978. Found and cleaned up 1 empty switch in `$paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:116$3982'.
  5979. Removing empty process `$paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:116$3982'.
  5980. Found and cleaned up 1 empty switch in `$paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:108$3976'.
  5981. Removing empty process `$paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:108$3976'.
  5982. Found and cleaned up 1 empty switch in `$paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:95$3974'.
  5983. Removing empty process `$paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:95$3974'.
  5984. Removing empty process `$paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:83$3965'.
  5985. Removing empty process `$paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:67$3959'.
  5986. Removing empty process `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:179$3945'.
  5987. Found and cleaned up 2 empty switches in `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:147$3944'.
  5988. Removing empty process `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:147$3944'.
  5989. Found and cleaned up 1 empty switch in `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:141$3942'.
  5990. Removing empty process `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:141$3942'.
  5991. Found and cleaned up 1 empty switch in `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:135$3941'.
  5992. Removing empty process `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:135$3941'.
  5993. Removing empty process `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:132$3940'.
  5994. Removing empty process `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:121$3936'.
  5995. Found and cleaned up 2 empty switches in `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:108$3935'.
  5996. Removing empty process `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:108$3935'.
  5997. Removing empty process `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:102$3933'.
  5998. Removing empty process `$paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:96$3932'.
  5999. Removing empty process `$paramod\delay_bit\DELAY=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/delay.v:30$3930'.
  6000. Removing empty process `$paramod$8b22e2c4b4d1e8b8ca3524a85d03e9beac836863\fifo_sync_shift.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v:0$3893'.
  6001. Found and cleaned up 1 empty switch in `$paramod$8b22e2c4b4d1e8b8ca3524a85d03e9beac836863\fifo_sync_shift.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v:64$3884'.
  6002. Removing empty process `$paramod$8b22e2c4b4d1e8b8ca3524a85d03e9beac836863\fifo_sync_shift.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v:64$3884'.
  6003. Found and cleaned up 1 empty switch in `$paramod$8b22e2c4b4d1e8b8ca3524a85d03e9beac836863\fifo_sync_shift.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v:57$3882'.
  6004. Removing empty process `$paramod$8b22e2c4b4d1e8b8ca3524a85d03e9beac836863\fifo_sync_shift.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v:57$3882'.
  6005. Removing empty process `$paramod$dafc3a7f4a5bcc8b3adb4c007b6f574c47147813\fifo_sync_shift.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v:0$3880'.
  6006. Found and cleaned up 1 empty switch in `$paramod$dafc3a7f4a5bcc8b3adb4c007b6f574c47147813\fifo_sync_shift.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v:64$3871'.
  6007. Removing empty process `$paramod$dafc3a7f4a5bcc8b3adb4c007b6f574c47147813\fifo_sync_shift.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v:64$3871'.
  6008. Found and cleaned up 1 empty switch in `$paramod$dafc3a7f4a5bcc8b3adb4c007b6f574c47147813\fifo_sync_shift.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v:57$3869'.
  6009. Removing empty process `$paramod$dafc3a7f4a5bcc8b3adb4c007b6f574c47147813\fifo_sync_shift.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v:57$3869'.
  6010. Removing empty process `$paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/glitch_filter.v:53$3514'.
  6011. Found and cleaned up 1 empty switch in `$paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/glitch_filter.v:95$3509'.
  6012. Removing empty process `$paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/glitch_filter.v:95$3509'.
  6013. Found and cleaned up 3 empty switches in `$paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/glitch_filter.v:80$3505'.
  6014. Removing empty process `$paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/glitch_filter.v:80$3505'.
  6015. Found and cleaned up 1 empty switch in `$paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/glitch_filter.v:70$3501'.
  6016. Removing empty process `$paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/glitch_filter.v:70$3501'.
  6017. Found and cleaned up 2 empty switches in `$paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/glitch_filter.v:60$3493'.
  6018. Removing empty process `$paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/glitch_filter.v:60$3493'.
  6019. Removing empty process `top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/top.v:0$3492'.
  6020. Found and cleaned up 4 empty switches in `$paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:31$3353'.
  6021. Removing empty process `$paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:31$3353'.
  6022. Removing empty process `$paramod$8cc0a9cf304a487149b7985624e92ee239a362b6\mc_tag_ram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_tag_ram.v:0$4452'.
  6023. Removing empty process `$paramod$8cc0a9cf304a487149b7985624e92ee239a362b6\mc_tag_ram.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_tag_ram.v:114$4450'.
  6024. Removing empty process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:0$3212'.
  6025. Found and cleaned up 5 empty switches in `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:615$3181'.
  6026. Removing empty process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:615$3181'.
  6027. Found and cleaned up 1 empty switch in `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:773$3158'.
  6028. Removing empty process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:773$3158'.
  6029. Found and cleaned up 1 empty switch in `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:758$3151'.
  6030. Removing empty process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:758$3151'.
  6031. Found and cleaned up 4 empty switches in `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:714$3105'.
  6032. Removing empty process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:714$3105'.
  6033. Found and cleaned up 1 empty switch in `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:700$3085'.
  6034. Removing empty process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:700$3085'.
  6035. Found and cleaned up 1 empty switch in `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:691$3083'.
  6036. Removing empty process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:691$3083'.
  6037. Found and cleaned up 1 empty switch in `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:684$3082'.
  6038. Removing empty process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:684$3082'.
  6039. Found and cleaned up 1 empty switch in `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:677$3077'.
  6040. Removing empty process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:677$3077'.
  6041. Found and cleaned up 3 empty switches in `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:544$3063'.
  6042. Removing empty process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:544$3063'.
  6043. Found and cleaned up 1 empty switch in `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:515$3051'.
  6044. Removing empty process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:515$3051'.
  6045. Found and cleaned up 2 empty switches in `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:506$3043'.
  6046. Removing empty process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:506$3043'.
  6047. Found and cleaned up 9 empty switches in `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:466$3036'.
  6048. Removing empty process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:466$3036'.
  6049. Found and cleaned up 1 empty switch in `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:459$3035'.
  6050. Removing empty process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:459$3035'.
  6051. Removing empty process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:447$3029'.
  6052. Found and cleaned up 1 empty switch in `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:373$3025'.
  6053. Removing empty process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:373$3025'.
  6054. Removing empty process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:363$3017'.
  6055. Removing empty process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:359$3010'.
  6056. Removing empty process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:344$3008'.
  6057. Found and cleaned up 1 empty switch in `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:337$3005'.
  6058. Removing empty process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:337$3005'.
  6059. Found and cleaned up 2 empty switches in `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:331$3001'.
  6060. Removing empty process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:331$3001'.
  6061. Found and cleaned up 2 empty switches in `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:314$2989'.
  6062. Removing empty process `$paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:314$2989'.
  6063. Removing empty process `$paramod$9a9e2282df54acafd6229f692355382480e5c2ad\qpi_phy_ice40_4x.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_phy_ice40_4x.v:163$2977'.
  6064. Found and cleaned up 1 empty switch in `$paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:193$2973'.
  6065. Removing empty process `$paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:193$2973'.
  6066. Found and cleaned up 1 empty switch in `$paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:185$2971'.
  6067. Removing empty process `$paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:185$2971'.
  6068. Found and cleaned up 1 empty switch in `$paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:177$2961'.
  6069. Removing empty process `$paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:177$2961'.
  6070. Found and cleaned up 1 empty switch in `$paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:164$2944'.
  6071. Removing empty process `$paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:164$2944'.
  6072. Removing empty process `$paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:154$2939'.
  6073. Found and cleaned up 1 empty switch in `$paramod$cfcaad11b8c62f8a1e1624a27a246465a59e45e7\ice40_rgb_wb.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_rgb_wb.v:94$2932'.
  6074. Removing empty process `$paramod$cfcaad11b8c62f8a1e1624a27a246465a59e45e7\ice40_rgb_wb.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_rgb_wb.v:94$2932'.
  6075. Removing empty process `SB_DFFNES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2794'.
  6076. Found and cleaned up 1 empty switch in `\SB_DFFNES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1414$2791'.
  6077. Removing empty process `SB_DFFNES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1414$2791'.
  6078. Removing empty process `SB_DFFNESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2790'.
  6079. Found and cleaned up 2 empty switches in `\SB_DFFNESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1353$2786'.
  6080. Removing empty process `SB_DFFNESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1353$2786'.
  6081. Removing empty process `SB_DFFNER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2785'.
  6082. Found and cleaned up 1 empty switch in `\SB_DFFNER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1273$2782'.
  6083. Removing empty process `SB_DFFNER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1273$2782'.
  6084. Removing empty process `SB_DFFNESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2781'.
  6085. Found and cleaned up 2 empty switches in `\SB_DFFNESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1212$2777'.
  6086. Removing empty process `SB_DFFNESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1212$2777'.
  6087. Removing empty process `SB_DFFNS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2776'.
  6088. Removing empty process `SB_DFFNS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1138$2774'.
  6089. Removing empty process `SB_DFFNSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2773'.
  6090. Found and cleaned up 1 empty switch in `\SB_DFFNSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1088$2771'.
  6091. Removing empty process `SB_DFFNSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1088$2771'.
  6092. Removing empty process `SB_DFFNR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2770'.
  6093. Removing empty process `SB_DFFNR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:1017$2768'.
  6094. Removing empty process `SB_DFFNSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2767'.
  6095. Found and cleaned up 1 empty switch in `\SB_DFFNSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:967$2765'.
  6096. Removing empty process `SB_DFFNSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:967$2765'.
  6097. Removing empty process `SB_DFFNE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2764'.
  6098. Found and cleaned up 1 empty switch in `\SB_DFFNE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:922$2763'.
  6099. Removing empty process `SB_DFFNE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:922$2763'.
  6100. Removing empty process `SB_DFFN.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2762'.
  6101. Removing empty process `SB_DFFN.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:882$2761'.
  6102. Removing empty process `SB_DFFES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2760'.
  6103. Found and cleaned up 1 empty switch in `\SB_DFFES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:803$2757'.
  6104. Removing empty process `SB_DFFES.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:803$2757'.
  6105. Removing empty process `SB_DFFESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2756'.
  6106. Found and cleaned up 2 empty switches in `\SB_DFFESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:742$2752'.
  6107. Removing empty process `SB_DFFESS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:742$2752'.
  6108. Removing empty process `SB_DFFER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2751'.
  6109. Found and cleaned up 1 empty switch in `\SB_DFFER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:662$2748'.
  6110. Removing empty process `SB_DFFER.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:662$2748'.
  6111. Removing empty process `SB_DFFESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2747'.
  6112. Found and cleaned up 2 empty switches in `\SB_DFFESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:601$2743'.
  6113. Removing empty process `SB_DFFESR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:601$2743'.
  6114. Removing empty process `SB_DFFS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2742'.
  6115. Removing empty process `SB_DFFS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:527$2740'.
  6116. Removing empty process `SB_DFFSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2739'.
  6117. Found and cleaned up 1 empty switch in `\SB_DFFSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:477$2737'.
  6118. Removing empty process `SB_DFFSS.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:477$2737'.
  6119. Removing empty process `SB_DFFR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2736'.
  6120. Removing empty process `SB_DFFR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:406$2734'.
  6121. Removing empty process `SB_DFFSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2733'.
  6122. Found and cleaned up 1 empty switch in `\SB_DFFSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:356$2731'.
  6123. Removing empty process `SB_DFFSR.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:356$2731'.
  6124. Removing empty process `SB_DFFE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2730'.
  6125. Found and cleaned up 1 empty switch in `\SB_DFFE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:311$2729'.
  6126. Removing empty process `SB_DFFE.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:311$2729'.
  6127. Removing empty process `SB_DFF.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:0$2728'.
  6128. Removing empty process `SB_DFF.$proc$/usr/bin/../share/yosys/ice40/cells_sim.v:271$2727'.
  6129. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1161$2551'.
  6130. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1160$2550'.
  6131. Found and cleaned up 97 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  6132. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4563$2445'.
  6133. Found and cleaned up 61 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  6134. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4303$2387'.
  6135. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4288$2381'.
  6136. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4288$2381'.
  6137. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4274$2380'.
  6138. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4274$2380'.
  6139. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4246$2369'.
  6140. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4246$2369'.
  6141. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4239$2368'.
  6142. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4239$2368'.
  6143. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4232$2367'.
  6144. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4232$2367'.
  6145. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4224$2366'.
  6146. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4224$2366'.
  6147. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4217$2365'.
  6148. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4217$2365'.
  6149. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4208$2364'.
  6150. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4208$2364'.
  6151. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4199$2363'.
  6152. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4199$2363'.
  6153. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4190$2362'.
  6154. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4190$2362'.
  6155. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4136$2305'.
  6156. Found and cleaned up 2 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4117$2295'.
  6157. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4117$2295'.
  6158. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4108$2292'.
  6159. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4108$2292'.
  6160. Found and cleaned up 2 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4099$2291'.
  6161. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4099$2291'.
  6162. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4077$2287'.
  6163. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4077$2287'.
  6164. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4063$2286'.
  6165. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4063$2286'.
  6166. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4049$2281'.
  6167. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4049$2281'.
  6168. Found and cleaned up 2 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4029$2268'.
  6169. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4029$2268'.
  6170. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4022$2267'.
  6171. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4022$2267'.
  6172. Found and cleaned up 2 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4013$2263'.
  6173. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4013$2263'.
  6174. Found and cleaned up 17 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3963$2258'.
  6175. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3963$2258'.
  6176. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3950$2256'.
  6177. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3950$2256'.
  6178. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3939$2255'.
  6179. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3939$2255'.
  6180. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3932$2254'.
  6181. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3932$2254'.
  6182. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3925$2253'.
  6183. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3925$2253'.
  6184. Found and cleaned up 2 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3914$2249'.
  6185. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3914$2249'.
  6186. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3900$2245'.
  6187. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3900$2245'.
  6188. Found and cleaned up 2 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3890$2244'.
  6189. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3890$2244'.
  6190. Found and cleaned up 2 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3880$2243'.
  6191. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3880$2243'.
  6192. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3864$2237'.
  6193. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3864$2237'.
  6194. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3838$2233'.
  6195. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3823$2232'.
  6196. Found and cleaned up 2 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3808$2229'.
  6197. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3808$2229'.
  6198. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3785$2228'.
  6199. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3773$2227'.
  6200. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3773$2227'.
  6201. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3758$2224'.
  6202. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3758$2224'.
  6203. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3736$2223'.
  6204. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3721$2222'.
  6205. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3697$2221'.
  6206. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3679$2220'.
  6207. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3679$2220'.
  6208. Found and cleaned up 3 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3667$2213'.
  6209. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3667$2213'.
  6210. Found and cleaned up 10 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3631$2204'.
  6211. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3631$2204'.
  6212. Found and cleaned up 10 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3603$2202'.
  6213. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3603$2202'.
  6214. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3568$2201'.
  6215. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3532$2198'.
  6216. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3523$2194'.
  6217. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3523$2194'.
  6218. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3506$2193'.
  6219. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3506$2193'.
  6220. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3483$2192'.
  6221. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3459$2191'.
  6222. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3441$2190'.
  6223. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3441$2190'.
  6224. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3427$2189'.
  6225. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3427$2189'.
  6226. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3413$2185'.
  6227. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3413$2185'.
  6228. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3404$2183'.
  6229. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3404$2183'.
  6230. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3350$2167'.
  6231. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3350$2167'.
  6232. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3330$2166'.
  6233. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3301$2163'.
  6234. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3283$2160'.
  6235. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3283$2160'.
  6236. Found and cleaned up 2 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3272$2159'.
  6237. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3272$2159'.
  6238. Found and cleaned up 2 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3262$2156'.
  6239. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3262$2156'.
  6240. Found and cleaned up 3 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3248$2155'.
  6241. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3248$2155'.
  6242. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3228$2150'.
  6243. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3228$2150'.
  6244. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3213$2149'.
  6245. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3213$2149'.
  6246. Found and cleaned up 2 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3200$2138'.
  6247. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3200$2138'.
  6248. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3183$2134'.
  6249. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3183$2134'.
  6250. Found and cleaned up 2 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3173$2133'.
  6251. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3173$2133'.
  6252. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3158$2123'.
  6253. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3134$2119'.
  6254. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3119$2118'.
  6255. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3106$2116'.
  6256. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3106$2116'.
  6257. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3084$2115'.
  6258. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3069$2114'.
  6259. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3061$2109'.
  6260. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3061$2109'.
  6261. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3039$2108'.
  6262. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3025$2105'.
  6263. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3025$2105'.
  6264. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3002$2090'.
  6265. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3002$2090'.
  6266. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2991$2086'.
  6267. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2991$2086'.
  6268. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2980$2082'.
  6269. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2980$2082'.
  6270. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2970$2081'.
  6271. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2970$2081'.
  6272. Found and cleaned up 2 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2958$2078'.
  6273. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2958$2078'.
  6274. Found and cleaned up 2 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2946$2076'.
  6275. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2946$2076'.
  6276. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2939$2075'.
  6277. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2939$2075'.
  6278. Found and cleaned up 2 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2928$2073'.
  6279. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2928$2073'.
  6280. Found and cleaned up 3 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2901$2066'.
  6281. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2901$2066'.
  6282. Found and cleaned up 2 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2891$2065'.
  6283. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2891$2065'.
  6284. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2882$2063'.
  6285. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2882$2063'.
  6286. Found and cleaned up 3 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2869$2061'.
  6287. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2869$2061'.
  6288. Found and cleaned up 2 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2855$2060'.
  6289. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2855$2060'.
  6290. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2847$2059'.
  6291. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2847$2059'.
  6292. Found and cleaned up 3 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2832$2058'.
  6293. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2832$2058'.
  6294. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2825$2057'.
  6295. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2825$2057'.
  6296. Found and cleaned up 2 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2815$2056'.
  6297. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2815$2056'.
  6298. Found and cleaned up 3 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2802$2046'.
  6299. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2802$2046'.
  6300. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2795$2045'.
  6301. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2795$2045'.
  6302. Found and cleaned up 2 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2784$2044'.
  6303. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2784$2044'.
  6304. Found and cleaned up 3 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2771$2036'.
  6305. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2771$2036'.
  6306. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2764$2035'.
  6307. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2764$2035'.
  6308. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2756$2034'.
  6309. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2756$2034'.
  6310. Found and cleaned up 3 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2743$2024'.
  6311. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2743$2024'.
  6312. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2736$2021'.
  6313. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2736$2021'.
  6314. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2726$2020'.
  6315. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2726$2020'.
  6316. Found and cleaned up 2 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2716$2019'.
  6317. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2716$2019'.
  6318. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2707$2018'.
  6319. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2707$2018'.
  6320. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2700$2017'.
  6321. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2700$2017'.
  6322. Found and cleaned up 3 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2652$2007'.
  6323. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2652$2007'.
  6324. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2644$2005'.
  6325. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2644$2005'.
  6326. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2636$2003'.
  6327. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2636$2003'.
  6328. Found and cleaned up 3 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2599$2002'.
  6329. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2599$2002'.
  6330. Found and cleaned up 11 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2568$2000'.
  6331. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2568$2000'.
  6332. Found and cleaned up 11 empty switches in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2538$1998'.
  6333. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2538$1998'.
  6334. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2525$1997'.
  6335. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2525$1997'.
  6336. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1765$1977'.
  6337. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1765$1977'.
  6338. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1713$1970'.
  6339. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1713$1970'.
  6340. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1707$1968'.
  6341. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1707$1968'.
  6342. Found and cleaned up 1 empty switch in `\VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1701$1966'.
  6343. Removing empty process `VexRiscv.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1701$1966'.
  6344. Found and cleaned up 7 empty switches in `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:302$1776'.
  6345. Removing empty process `InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:302$1776'.
  6346. Found and cleaned up 9 empty switches in `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:264$1773'.
  6347. Removing empty process `InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:264$1773'.
  6348. Found and cleaned up 1 empty switch in `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:219$1752'.
  6349. Removing empty process `InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:219$1752'.
  6350. Found and cleaned up 3 empty switches in `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:203$1747'.
  6351. Removing empty process `InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:203$1747'.
  6352. Found and cleaned up 2 empty switches in `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:194$1745'.
  6353. Removing empty process `InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:194$1745'.
  6354. Found and cleaned up 1 empty switch in `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:186$1744'.
  6355. Removing empty process `InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:186$1744'.
  6356. Found and cleaned up 1 empty switch in `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:179$1743'.
  6357. Removing empty process `InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:179$1743'.
  6358. Found and cleaned up 1 empty switch in `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:173$1741'.
  6359. Removing empty process `InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:173$1741'.
  6360. Found and cleaned up 1 empty switch in `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:167$1734'.
  6361. Removing empty process `InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:167$1734'.
  6362. Found and cleaned up 1 empty switch in `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:161$1732'.
  6363. Removing empty process `InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:161$1732'.
  6364. Found and cleaned up 1 empty switch in `\InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:155$1725'.
  6365. Removing empty process `InstructionCache.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:155$1725'.
  6366. Removing empty process `$paramod$c937df4c258ba3feed83404fb87e6cc4fb9fbffd\ice40_iserdes.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_iserdes.v:0$4397'.
  6367. Found and cleaned up 1 empty switch in `\vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:307$1657'.
  6368. Removing empty process `vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:307$1657'.
  6369. Removing empty process `vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:303$1651'.
  6370. Found and cleaned up 1 empty switch in `\vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:253$1644'.
  6371. Removing empty process `vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:253$1644'.
  6372. Removing empty process `vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:250$1643'.
  6373. Found and cleaned up 1 empty switch in `\vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:239$1636'.
  6374. Removing empty process `vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:239$1636'.
  6375. Found and cleaned up 2 empty switches in `\vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:231$1633'.
  6376. Removing empty process `vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:231$1633'.
  6377. Removing empty process `vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:224$1629'.
  6378. Found and cleaned up 3 empty switches in `\vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:200$1628'.
  6379. Removing empty process `vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:200$1628'.
  6380. Found and cleaned up 1 empty switch in `\vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:178$1625'.
  6381. Removing empty process `vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:178$1625'.
  6382. Removing empty process `vid_top.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:175$1620'.
  6383. Removing empty process `$paramod$14e5d95e036b4742363dfea0c94e50791839025a\ice40_iserdes.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_iserdes.v:0$4376'.
  6384. Removing empty process `$paramod$67159177885044a3572460fa032eb51bd80cf94f\ice40_iserdes.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_iserdes.v:0$4355'.
  6385. Removing empty process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:0$3674'.
  6386. Removing empty process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:0$3673'.
  6387. Found and cleaned up 16 empty switches in `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557'.
  6388. Removing empty process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:81$3557'.
  6389. Found and cleaned up 1 empty switch in `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:73$3556'.
  6390. Removing empty process `$paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_spram_gen.v:73$3556'.
  6391. Removing empty process `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:0$4073'.
  6392. Removing empty process `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:32$4072'.
  6393. Removing empty process `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:74$4053'.
  6394. Removing empty process `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:57$4050'.
  6395. Removing empty process `$paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:50$4047'.
  6396. Found and cleaned up 1 empty switch in `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:294$3451'.
  6397. Removing empty process `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:294$3451'.
  6398. Removing empty process `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:291$3449'.
  6399. Removing empty process `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:268$3436'.
  6400. Found and cleaned up 1 empty switch in `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:241$3428'.
  6401. Removing empty process `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:241$3428'.
  6402. Removing empty process `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:221$3416'.
  6403. Removing empty process `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:198$3408'.
  6404. Found and cleaned up 1 empty switch in `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:175$3395'.
  6405. Removing empty process `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:175$3395'.
  6406. Found and cleaned up 7 empty switches in `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:137$3390'.
  6407. Removing empty process `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:137$3390'.
  6408. Found and cleaned up 1 empty switch in `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:130$3389'.
  6409. Removing empty process `$paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.$proc$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:130$3389'.
  6410. Cleaned up 549 empty switches.
  6411. 63.3.12. Executing OPT_EXPR pass (perform const folding).
  6412. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010011010000100.
  6413. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000000000.
  6414. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000000001.
  6415. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000000010.
  6416. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000000011.
  6417. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000010000.
  6418. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000010001.
  6419. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000010010.
  6420. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000010011.
  6421. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000000000.
  6422. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000000001.
  6423. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000000010.
  6424. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000000011.
  6425. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000010000.
  6426. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000010001.
  6427. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000010010.
  6428. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000010011.
  6429. Optimizing module $paramod$0e9701ec0ff3618e82c6b3c7773f21bce1c1aa12\ice40_iserdes.
  6430. <suppressed ~4 debug messages>
  6431. Optimizing module $paramod$d1350f99652fccfa0eca6f20eb458128402cb851\ice40_serdes_dff.
  6432. Optimizing module $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000011010010100001.
  6433. Optimizing module $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000011010010100010.
  6434. Optimizing module $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000011010010100011.
  6435. Optimizing module $paramod$9424c184a55595b25ce0f57bb97552df113fdbb7\ice40_serdes_dff.
  6436. Optimizing module $paramod$2797d931cd0954c5520f5806e7f1ebd82a03ad28\ice40_serdes_dff.
  6437. Optimizing module $paramod$e7ef2081568887628eb303394d34c9d8476d8a88\ice40_serdes_dff.
  6438. Optimizing module $paramod$4d8f22cf4ec64d0fb9f63f1c98686217b3799a7d\ice40_serdes_dff.
  6439. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011011010000000.
  6440. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011011010000001.
  6441. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011011010000010.
  6442. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011011010000011.
  6443. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011011010000100.
  6444. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000000000.
  6445. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000000001.
  6446. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000000010.
  6447. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000000011.
  6448. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000010000.
  6449. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000010001.
  6450. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000010010.
  6451. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000010011.
  6452. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000100000.
  6453. Optimizing module $paramod$f1ce7771bad0baf70eccc6d92471271ce6f4b9b5\ice40_ebr.
  6454. <suppressed ~24 debug messages>
  6455. Optimizing module $paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.
  6456. <suppressed ~36 debug messages>
  6457. Optimizing module $paramod$1becf43d58396d216852697b52a37807eb7ec9e3\delay_bus.
  6458. Optimizing module $paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001100.
  6459. <suppressed ~1 debug messages>
  6460. Optimizing module $paramod$cae5572c97b54b153bd196ca558b9ddbfed34bda\uart_rx.
  6461. <suppressed ~2 debug messages>
  6462. Optimizing module $paramod$52fdd5761ec779f8f28128b640a08a8cc595d827\ice40_serdes_dff.
  6463. Optimizing module $paramod$3ad1583e0481d446cb74cb52afebc0dc3fce6746\ice40_serdes_dff.
  6464. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000000000.
  6465. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000000001.
  6466. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000000010.
  6467. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000000011.
  6468. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000010000.
  6469. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000010001.
  6470. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000010010.
  6471. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000010011.
  6472. Optimizing module $paramod$30ee323e18e10972cd7003a5025831d5a704d820\ice40_serdes_dff.
  6473. Optimizing module $paramod$681b594bc9ea94cf8d9a4c2606247a2c915777f4\ice40_serdes_dff.
  6474. Optimizing module $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000000010010100001.
  6475. Optimizing module $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000000010010100010.
  6476. Optimizing module $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000000010010100011.
  6477. Optimizing module $paramod$b32caa8ce454d2e243a8fb7a97f312acff133bb3\ice40_serdes_dff.
  6478. Optimizing module $paramod$7f62c438601400b211e700d756641d52d2e1073c\ice40_serdes_dff.
  6479. Optimizing module $paramod$e44b3f6b88bd9f13d1f900764c097b88a8073837\ice40_serdes_dff.
  6480. Optimizing module $paramod$692a90536143b3fec671d73677449145eabc3620\ice40_serdes_dff.
  6481. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000000.
  6482. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000001.
  6483. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000010.
  6484. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000011.
  6485. Optimizing module $paramod$3ebcb2129805027011086c045e6bb4ce235a9e88\ram_sdp.
  6486. Optimizing module $paramod\dffer_n\WIDTH=s32'00000000000000000000000000001010.
  6487. Optimizing module $paramod$ee292efbb55924cacfdc6c8744bdb7148f59d2f1\ice40_serdes_sync.
  6488. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000000000.
  6489. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000000001.
  6490. Optimizing module $paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram.
  6491. Optimizing module $paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.
  6492. <suppressed ~2 debug messages>
  6493. Optimizing module $paramod\delay_bit\DELAY=s32'00000000000000000000000000000100.
  6494. Optimizing module $paramod\hdmi_phy_1x\DW=s32'00000000000000000000000000001100.
  6495. Optimizing module $paramod$9a9c4528a326fac997fd1fc463b8f4223e2e4501\ice40_oserdes.
  6496. Optimizing module $paramod$917d62ab073ab2caba68f39824d52335f3c1a5e3\ice40_oserdes.
  6497. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000100.
  6498. Optimizing module $paramod$087675b5e9c65e52d59a156f0ccae4f673504c8c\ice40_oserdes.
  6499. Optimizing module $paramod$5c6db5a604a4655a57dfd9340bd964139a251510\ice40_oserdes.
  6500. Optimizing module $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000001010010100000.
  6501. Optimizing module $paramod$a13561ec4a4d594cc35622ccd91582314db7bb43\ice40_oserdes.
  6502. Optimizing module $paramod$fe37dab75e68305ee07af1db6f0f96490b9fdc39\ice40_oserdes.
  6503. Optimizing module $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000010010010100000.
  6504. Optimizing module $paramod$75c621542c1e9767613d02b1f3511b93ee44cfa1\ice40_oserdes.
  6505. Optimizing module $paramod$863b677bc445782f1534bda513d3ab7f02676b5c\ice40_oserdes.
  6506. Optimizing module $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000011010010100000.
  6507. Optimizing module $paramod$ee5fa83b1ca770f4f834672f5d772cff0c2d7889\ice40_oserdes.
  6508. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000000010.
  6509. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000000011.
  6510. Optimizing module $paramod$8b22e2c4b4d1e8b8ca3524a85d03e9beac836863\fifo_sync_shift.
  6511. <suppressed ~3 debug messages>
  6512. Optimizing module $paramod$dafc3a7f4a5bcc8b3adb4c007b6f574c47147813\fifo_sync_shift.
  6513. <suppressed ~3 debug messages>
  6514. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000010000.
  6515. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000010001.
  6516. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000010010.
  6517. Optimizing module $paramod\mc_tag_match\TAG_WIDTH=s32'00000000000000000000000000001100.
  6518. Optimizing module $paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter.
  6519. <suppressed ~6 debug messages>
  6520. Optimizing module top.
  6521. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000010011.
  6522. Optimizing module $paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram.
  6523. Optimizing module $paramod$8cc0a9cf304a487149b7985624e92ee239a362b6\mc_tag_ram.
  6524. Optimizing module $paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.
  6525. <suppressed ~42 debug messages>
  6526. Optimizing module $paramod$9a9e2282df54acafd6229f692355382480e5c2ad\qpi_phy_ice40_4x.
  6527. Optimizing module $paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.
  6528. <suppressed ~2 debug messages>
  6529. Optimizing module $paramod$cfcaad11b8c62f8a1e1624a27a246465a59e45e7\ice40_rgb_wb.
  6530. Optimizing module VexRiscv.
  6531. <suppressed ~373 debug messages>
  6532. Optimizing module InstructionCache.
  6533. <suppressed ~20 debug messages>
  6534. Optimizing module sysmgr.
  6535. Optimizing module $paramod$c937df4c258ba3feed83404fb87e6cc4fb9fbffd\ice40_iserdes.
  6536. <suppressed ~4 debug messages>
  6537. Optimizing module vid_framebuf.
  6538. Optimizing module vid_palette.
  6539. Optimizing module vid_top.
  6540. <suppressed ~2 debug messages>
  6541. Optimizing module $paramod$728f7da6b691d49936b0c3bda28a068249eab591\ice40_serdes_dff.
  6542. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000000000.
  6543. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000000001.
  6544. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000000010.
  6545. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000000011.
  6546. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000010000.
  6547. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000010001.
  6548. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000010010.
  6549. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000010011.
  6550. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000000000.
  6551. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000000001.
  6552. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000000010.
  6553. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000000011.
  6554. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000010000.
  6555. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000010001.
  6556. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000010010.
  6557. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000010011.
  6558. Optimizing module $paramod$14e5d95e036b4742363dfea0c94e50791839025a\ice40_iserdes.
  6559. <suppressed ~4 debug messages>
  6560. Optimizing module $paramod$152f54a12eb3ca1dd5881a1a75733b2cc275300e\ice40_serdes_dff.
  6561. Optimizing module $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000001010010100001.
  6562. Optimizing module $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000001010010100010.
  6563. Optimizing module $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000001010010100011.
  6564. Optimizing module $paramod$287ed5c83870694bd6af0f98e8abdcf9f10a3b0d\ice40_serdes_dff.
  6565. Optimizing module $paramod$609ef96eefd5f217e59829af7272b2c75ca4270a\ice40_serdes_dff.
  6566. Optimizing module $paramod$cc9a6e6bf39368ff82a94c2c06971bb1433935c4\ice40_serdes_dff.
  6567. Optimizing module $paramod$585d871e3f028093b352aaf4a6a5ed67b111fc87\ice40_serdes_dff.
  6568. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001011010000000.
  6569. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001011010000001.
  6570. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001011010000010.
  6571. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001011010000011.
  6572. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001011010000100.
  6573. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000000000.
  6574. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000000001.
  6575. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000000010.
  6576. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000000011.
  6577. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000010000.
  6578. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000010001.
  6579. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000010010.
  6580. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000010011.
  6581. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000000000.
  6582. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000000001.
  6583. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000000010.
  6584. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000000011.
  6585. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000010000.
  6586. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000010001.
  6587. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000010010.
  6588. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000010011.
  6589. Optimizing module $paramod$67159177885044a3572460fa032eb51bd80cf94f\ice40_iserdes.
  6590. <suppressed ~4 debug messages>
  6591. Optimizing module $paramod$eddda7c69c5b1281f2431cd4e70f1617a655638d\ice40_serdes_dff.
  6592. Optimizing module $paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.
  6593. <suppressed ~5042 debug messages>
  6594. Optimizing module $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000010010010100001.
  6595. Optimizing module $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000010010010100010.
  6596. Optimizing module $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000010010010100011.
  6597. Optimizing module $paramod$4f4cafaa8e148481ffc96bfe5f39236edef69b27\ice40_serdes_dff.
  6598. Optimizing module $paramod$c937d5e4376f8f2580c5fe8fd2426ef1292329e8\ice40_serdes_dff.
  6599. Optimizing module $paramod$3b1dc861a4d0285a9c0e28e86e84bf3f3bf78af8\ice40_serdes_dff.
  6600. Optimizing module $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000000010010100000.
  6601. Optimizing module $paramod$8364285540c9e5de4006551b06ee5b25c31d3ac4\ice40_serdes_dff.
  6602. Optimizing module $paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.
  6603. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010011010000000.
  6604. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010011010000001.
  6605. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010011010000010.
  6606. Optimizing module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010011010000011.
  6607. Optimizing module $paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.
  6608. <suppressed ~11 debug messages>
  6609. 63.4. Executing FLATTEN pass (flatten design).
  6610. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010011010000100.
  6611. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000000000.
  6612. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000000001.
  6613. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000000010.
  6614. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000000011.
  6615. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000010000.
  6616. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000010001.
  6617. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000010010.
  6618. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011001000010011.
  6619. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000000000.
  6620. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000000001.
  6621. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000000010.
  6622. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000000011.
  6623. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000010000.
  6624. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000010001.
  6625. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000010010.
  6626. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011000000010011.
  6627. Deleting now unused module $paramod$0e9701ec0ff3618e82c6b3c7773f21bce1c1aa12\ice40_iserdes.
  6628. Deleting now unused module $paramod$d1350f99652fccfa0eca6f20eb458128402cb851\ice40_serdes_dff.
  6629. Deleting now unused module $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000011010010100001.
  6630. Deleting now unused module $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000011010010100010.
  6631. Deleting now unused module $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000011010010100011.
  6632. Deleting now unused module $paramod$9424c184a55595b25ce0f57bb97552df113fdbb7\ice40_serdes_dff.
  6633. Deleting now unused module $paramod$2797d931cd0954c5520f5806e7f1ebd82a03ad28\ice40_serdes_dff.
  6634. Deleting now unused module $paramod$e7ef2081568887628eb303394d34c9d8476d8a88\ice40_serdes_dff.
  6635. Deleting now unused module $paramod$4d8f22cf4ec64d0fb9f63f1c98686217b3799a7d\ice40_serdes_dff.
  6636. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011011010000000.
  6637. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011011010000001.
  6638. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011011010000010.
  6639. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011011010000011.
  6640. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000011011010000100.
  6641. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000000000.
  6642. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000000001.
  6643. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000000010.
  6644. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000000011.
  6645. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000010000.
  6646. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000010001.
  6647. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000010010.
  6648. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000010011.
  6649. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000100000000100000.
  6650. Deleting now unused module $paramod$f1ce7771bad0baf70eccc6d92471271ce6f4b9b5\ice40_ebr.
  6651. Deleting now unused module $paramod$a8a608399bdc7898dc3bb0c8613f1d43404870fb\mc_core.
  6652. Deleting now unused module $paramod$1becf43d58396d216852697b52a37807eb7ec9e3\delay_bus.
  6653. Deleting now unused module $paramod\uart_tx\DIV_WIDTH=s32'00000000000000000000000000001100.
  6654. Deleting now unused module $paramod$cae5572c97b54b153bd196ca558b9ddbfed34bda\uart_rx.
  6655. Deleting now unused module $paramod$52fdd5761ec779f8f28128b640a08a8cc595d827\ice40_serdes_dff.
  6656. Deleting now unused module $paramod$3ad1583e0481d446cb74cb52afebc0dc3fce6746\ice40_serdes_dff.
  6657. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000000000.
  6658. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000000001.
  6659. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000000010.
  6660. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000000011.
  6661. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000010000.
  6662. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000010001.
  6663. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000010010.
  6664. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000000000010011.
  6665. Deleting now unused module $paramod$30ee323e18e10972cd7003a5025831d5a704d820\ice40_serdes_dff.
  6666. Deleting now unused module $paramod$681b594bc9ea94cf8d9a4c2606247a2c915777f4\ice40_serdes_dff.
  6667. Deleting now unused module $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000000010010100001.
  6668. Deleting now unused module $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000000010010100010.
  6669. Deleting now unused module $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000000010010100011.
  6670. Deleting now unused module $paramod$b32caa8ce454d2e243a8fb7a97f312acff133bb3\ice40_serdes_dff.
  6671. Deleting now unused module $paramod$7f62c438601400b211e700d756641d52d2e1073c\ice40_serdes_dff.
  6672. Deleting now unused module $paramod$e44b3f6b88bd9f13d1f900764c097b88a8073837\ice40_serdes_dff.
  6673. Deleting now unused module $paramod$692a90536143b3fec671d73677449145eabc3620\ice40_serdes_dff.
  6674. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000000.
  6675. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000001.
  6676. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000010.
  6677. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000011.
  6678. Deleting now unused module $paramod$3ebcb2129805027011086c045e6bb4ce235a9e88\ram_sdp.
  6679. Deleting now unused module $paramod\dffer_n\WIDTH=s32'00000000000000000000000000001010.
  6680. Deleting now unused module $paramod$ee292efbb55924cacfdc6c8744bdb7148f59d2f1\ice40_serdes_sync.
  6681. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000000000.
  6682. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000000001.
  6683. Deleting now unused module $paramod$c32249f57cf18aae6c3720d875a1d87207eed63c\fifo_sync_ram.
  6684. Deleting now unused module $paramod$cbe8fcb6b70e4a368054085d17602501503282a1\vid_tgen.
  6685. Deleting now unused module $paramod\delay_bit\DELAY=s32'00000000000000000000000000000100.
  6686. Deleting now unused module $paramod\hdmi_phy_1x\DW=s32'00000000000000000000000000001100.
  6687. Deleting now unused module $paramod$9a9c4528a326fac997fd1fc463b8f4223e2e4501\ice40_oserdes.
  6688. Deleting now unused module $paramod$917d62ab073ab2caba68f39824d52335f3c1a5e3\ice40_oserdes.
  6689. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000011010000100.
  6690. Deleting now unused module $paramod$087675b5e9c65e52d59a156f0ccae4f673504c8c\ice40_oserdes.
  6691. Deleting now unused module $paramod$5c6db5a604a4655a57dfd9340bd964139a251510\ice40_oserdes.
  6692. Deleting now unused module $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000001010010100000.
  6693. Deleting now unused module $paramod$a13561ec4a4d594cc35622ccd91582314db7bb43\ice40_oserdes.
  6694. Deleting now unused module $paramod$fe37dab75e68305ee07af1db6f0f96490b9fdc39\ice40_oserdes.
  6695. Deleting now unused module $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000010010010100000.
  6696. Deleting now unused module $paramod$75c621542c1e9767613d02b1f3511b93ee44cfa1\ice40_oserdes.
  6697. Deleting now unused module $paramod$863b677bc445782f1534bda513d3ab7f02676b5c\ice40_oserdes.
  6698. Deleting now unused module $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000011010010100000.
  6699. Deleting now unused module $paramod$ee5fa83b1ca770f4f834672f5d772cff0c2d7889\ice40_oserdes.
  6700. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000000010.
  6701. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000000011.
  6702. Deleting now unused module $paramod$8b22e2c4b4d1e8b8ca3524a85d03e9beac836863\fifo_sync_shift.
  6703. Deleting now unused module $paramod$dafc3a7f4a5bcc8b3adb4c007b6f574c47147813\fifo_sync_shift.
  6704. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000010000.
  6705. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000010001.
  6706. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000010010.
  6707. Deleting now unused module $paramod\mc_tag_match\TAG_WIDTH=s32'00000000000000000000000000001100.
  6708. Deleting now unused module $paramod$3dde714f422b83739ea51939bb54a1d4747be207\glitch_filter.
  6709. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000000001000010011.
  6710. Deleting now unused module $paramod$7b7d084455f833cfdede68301d832939818fdbc1\soc_bram.
  6711. Deleting now unused module $paramod$8cc0a9cf304a487149b7985624e92ee239a362b6\mc_tag_ram.
  6712. Deleting now unused module $paramod$59a1d02c57d05f4d466ea9b0891b874c69f2b914\qpi_memctrl.
  6713. Deleting now unused module $paramod$9a9e2282df54acafd6229f692355382480e5c2ad\qpi_phy_ice40_4x.
  6714. Deleting now unused module $paramod$6b84e3fabbb4b753fd7ae6545e97cd268400ab72\uart_wb.
  6715. Deleting now unused module $paramod$cfcaad11b8c62f8a1e1624a27a246465a59e45e7\ice40_rgb_wb.
  6716. Deleting now unused module VexRiscv.
  6717. Deleting now unused module InstructionCache.
  6718. Deleting now unused module sysmgr.
  6719. Deleting now unused module $paramod$c937df4c258ba3feed83404fb87e6cc4fb9fbffd\ice40_iserdes.
  6720. Deleting now unused module vid_framebuf.
  6721. Deleting now unused module vid_palette.
  6722. Deleting now unused module vid_top.
  6723. Deleting now unused module $paramod$728f7da6b691d49936b0c3bda28a068249eab591\ice40_serdes_dff.
  6724. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000000000.
  6725. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000000001.
  6726. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000000010.
  6727. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000000011.
  6728. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000010000.
  6729. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000010001.
  6730. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000010010.
  6731. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001001000010011.
  6732. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000000000.
  6733. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000000001.
  6734. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000000010.
  6735. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000000011.
  6736. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000010000.
  6737. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000010001.
  6738. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000010010.
  6739. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001000000010011.
  6740. Deleting now unused module $paramod$14e5d95e036b4742363dfea0c94e50791839025a\ice40_iserdes.
  6741. Deleting now unused module $paramod$152f54a12eb3ca1dd5881a1a75733b2cc275300e\ice40_serdes_dff.
  6742. Deleting now unused module $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000001010010100001.
  6743. Deleting now unused module $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000001010010100010.
  6744. Deleting now unused module $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000001010010100011.
  6745. Deleting now unused module $paramod$287ed5c83870694bd6af0f98e8abdcf9f10a3b0d\ice40_serdes_dff.
  6746. Deleting now unused module $paramod$609ef96eefd5f217e59829af7272b2c75ca4270a\ice40_serdes_dff.
  6747. Deleting now unused module $paramod$cc9a6e6bf39368ff82a94c2c06971bb1433935c4\ice40_serdes_dff.
  6748. Deleting now unused module $paramod$585d871e3f028093b352aaf4a6a5ed67b111fc87\ice40_serdes_dff.
  6749. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001011010000000.
  6750. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001011010000001.
  6751. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001011010000010.
  6752. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001011010000011.
  6753. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000001011010000100.
  6754. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000000000.
  6755. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000000001.
  6756. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000000010.
  6757. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000000011.
  6758. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000010000.
  6759. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000010001.
  6760. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000010010.
  6761. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010001000010011.
  6762. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000000000.
  6763. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000000001.
  6764. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000000010.
  6765. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000000011.
  6766. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000010000.
  6767. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000010001.
  6768. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000010010.
  6769. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010000000010011.
  6770. Deleting now unused module $paramod$67159177885044a3572460fa032eb51bd80cf94f\ice40_iserdes.
  6771. Deleting now unused module $paramod$eddda7c69c5b1281f2431cd4e70f1617a655638d\ice40_serdes_dff.
  6772. Deleting now unused module $paramod$9febc798f0ace58d1e05d1c8fc5ca0bae9faad8b\ice40_spram_gen.
  6773. Deleting now unused module $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000010010010100001.
  6774. Deleting now unused module $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000010010010100010.
  6775. Deleting now unused module $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000010010010100011.
  6776. Deleting now unused module $paramod$4f4cafaa8e148481ffc96bfe5f39236edef69b27\ice40_serdes_dff.
  6777. Deleting now unused module $paramod$c937d5e4376f8f2580c5fe8fd2426ef1292329e8\ice40_serdes_dff.
  6778. Deleting now unused module $paramod$3b1dc861a4d0285a9c0e28e86e84bf3f3bf78af8\ice40_serdes_dff.
  6779. Deleting now unused module $paramod\ice40_serdes_dff\NEG=1'0\SERDES_GRP=32'00000000000000000000010010100000.
  6780. Deleting now unused module $paramod$8364285540c9e5de4006551b06ee5b25c31d3ac4\ice40_serdes_dff.
  6781. Deleting now unused module $paramod\ice40_serdes_crg\NO_CLOCK_2X=s32'00000000000000000000000000000001.
  6782. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010011010000000.
  6783. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010011010000001.
  6784. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010011010000010.
  6785. Deleting now unused module $paramod\ice40_serdes_dff\SERDES_GRP=32'00000000000000000010011010000011.
  6786. Deleting now unused module $paramod\mc_bus_vex\WB_N=s32'00000000000000000000000000000100.
  6787. <suppressed ~188 debug messages>
  6788. 63.5. Executing TRIBUF pass.
  6789. 63.6. Executing DEMINOUT pass (demote inout ports to input or output).
  6790. 63.7. Executing OPT_EXPR pass (perform const folding).
  6791. Optimizing module top.
  6792. <suppressed ~54 debug messages>
  6793. 63.8. Executing OPT_CLEAN pass (remove unused cells and wires).
  6794. Finding unused cells or wires in module \top..
  6795. Removed 507 unused cells and 9005 unused wires.
  6796. <suppressed ~854 debug messages>
  6797. 63.9. Executing CHECK pass (checking for obvious problems).
  6798. Checking module top...
  6799. Found and reported 0 problems.
  6800. 63.10. Executing OPT pass (performing simple optimizations).
  6801. 63.10.1. Executing OPT_EXPR pass (perform const folding).
  6802. Optimizing module top.
  6803. <suppressed ~4 debug messages>
  6804. 63.10.2. Executing OPT_MERGE pass (detect identical cells).
  6805. Finding identical cells in module `\top'.
  6806. <suppressed ~924 debug messages>
  6807. Removed a total of 309 cells.
  6808. 63.10.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
  6809. Running muxtree optimizer on module \top..
  6810. Creating internal representation of mux trees.
  6811. Evaluating internal representation of mux trees.
  6812. Replacing known input bits on port B of cell $flatten\cpu_I.\IBusCachedPlugin_cache.$procmux$7125: \cpu_I.IBusCachedPlugin_cache.lineLoader_flushCounter -> { 1'1 \cpu_I.IBusCachedPlugin_cache.lineLoader_flushCounter [5:0] }
  6813. Analyzing evaluation results.
  6814. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10033.
  6815. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10033.
  6816. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10033.
  6817. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10033.
  6818. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10033.
  6819. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10055.
  6820. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10055.
  6821. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10055.
  6822. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10055.
  6823. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10055.
  6824. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10085.
  6825. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10085.
  6826. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10085.
  6827. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10085.
  6828. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10093.
  6829. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10093.
  6830. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10093.
  6831. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10093.
  6832. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10093.
  6833. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10111.
  6834. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10111.
  6835. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10111.
  6836. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10111.
  6837. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10145.
  6838. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10145.
  6839. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10145.
  6840. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10145.
  6841. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10157.
  6842. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10157.
  6843. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10157.
  6844. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10157.
  6845. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10157.
  6846. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10183.
  6847. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10183.
  6848. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10183.
  6849. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10183.
  6850. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10241.
  6851. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10241.
  6852. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10241.
  6853. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10241.
  6854. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10241.
  6855. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10254.
  6856. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10254.
  6857. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10254.
  6858. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10254.
  6859. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10254.
  6860. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10269.
  6861. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10269.
  6862. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10269.
  6863. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10269.
  6864. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10286.
  6865. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10286.
  6866. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10286.
  6867. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10286.
  6868. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10305.
  6869. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10305.
  6870. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10305.
  6871. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10305.
  6872. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10326.
  6873. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10326.
  6874. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10326.
  6875. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10326.
  6876. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10349.
  6877. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10349.
  6878. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10349.
  6879. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10349.
  6880. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10349.
  6881. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10374.
  6882. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10374.
  6883. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10374.
  6884. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10374.
  6885. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10374.
  6886. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10401.
  6887. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10401.
  6888. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10401.
  6889. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10401.
  6890. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10401.
  6891. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10430.
  6892. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10430.
  6893. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10430.
  6894. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10430.
  6895. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10430.
  6896. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10461.
  6897. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10461.
  6898. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10461.
  6899. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10461.
  6900. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10494.
  6901. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10494.
  6902. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10494.
  6903. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10494.
  6904. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10529.
  6905. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10529.
  6906. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10529.
  6907. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10529.
  6908. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10566.
  6909. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10566.
  6910. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10566.
  6911. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10566.
  6912. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10573.
  6913. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10573.
  6914. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10573.
  6915. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10573.
  6916. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10573.
  6917. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10583.
  6918. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10583.
  6919. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10583.
  6920. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10583.
  6921. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10583.
  6922. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10597.
  6923. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10597.
  6924. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10597.
  6925. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10597.
  6926. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10613.
  6927. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10613.
  6928. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10613.
  6929. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10613.
  6930. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10633.
  6931. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10633.
  6932. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10633.
  6933. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10633.
  6934. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10657.
  6935. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10657.
  6936. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10657.
  6937. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10657.
  6938. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10657.
  6939. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10685.
  6940. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10685.
  6941. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10685.
  6942. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10685.
  6943. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10685.
  6944. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10717.
  6945. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10717.
  6946. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10717.
  6947. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10717.
  6948. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10753.
  6949. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10753.
  6950. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10753.
  6951. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10753.
  6952. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10762.
  6953. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10762.
  6954. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10762.
  6955. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10762.
  6956. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10762.
  6957. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10773.
  6958. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10773.
  6959. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10773.
  6960. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10773.
  6961. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10773.
  6962. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10795.
  6963. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10795.
  6964. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10795.
  6965. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10795.
  6966. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10795.
  6967. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10825.
  6968. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10825.
  6969. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10825.
  6970. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10825.
  6971. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10833.
  6972. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10833.
  6973. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10833.
  6974. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10833.
  6975. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10833.
  6976. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10851.
  6977. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10851.
  6978. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10851.
  6979. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10851.
  6980. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10885.
  6981. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10885.
  6982. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10885.
  6983. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10885.
  6984. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10897.
  6985. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10897.
  6986. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10897.
  6987. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10897.
  6988. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10897.
  6989. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10923.
  6990. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10923.
  6991. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10923.
  6992. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10923.
  6993. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10923.
  6994. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10981.
  6995. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10981.
  6996. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10981.
  6997. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10981.
  6998. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10981.
  6999. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10994.
  7000. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10994.
  7001. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10994.
  7002. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10994.
  7003. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10994.
  7004. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11009.
  7005. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11009.
  7006. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11009.
  7007. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11009.
  7008. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11009.
  7009. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11026.
  7010. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11026.
  7011. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11026.
  7012. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11026.
  7013. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11026.
  7014. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11045.
  7015. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11045.
  7016. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11045.
  7017. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11045.
  7018. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11066.
  7019. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11066.
  7020. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11066.
  7021. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11066.
  7022. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11089.
  7023. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11089.
  7024. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11089.
  7025. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11089.
  7026. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11089.
  7027. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11114.
  7028. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11114.
  7029. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11114.
  7030. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11114.
  7031. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11114.
  7032. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11141.
  7033. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11141.
  7034. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11141.
  7035. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11141.
  7036. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11141.
  7037. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11170.
  7038. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11170.
  7039. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11170.
  7040. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11170.
  7041. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11170.
  7042. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11201.
  7043. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11201.
  7044. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11201.
  7045. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11201.
  7046. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11234.
  7047. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11234.
  7048. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11234.
  7049. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11234.
  7050. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11269.
  7051. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11269.
  7052. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11269.
  7053. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11269.
  7054. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11306.
  7055. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11306.
  7056. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11306.
  7057. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11306.
  7058. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11313.
  7059. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11313.
  7060. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11313.
  7061. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11313.
  7062. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11313.
  7063. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11323.
  7064. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11323.
  7065. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11323.
  7066. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11323.
  7067. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11323.
  7068. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11337.
  7069. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11337.
  7070. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11337.
  7071. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11337.
  7072. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11337.
  7073. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11353.
  7074. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11353.
  7075. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11353.
  7076. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11353.
  7077. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11353.
  7078. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11373.
  7079. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11373.
  7080. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11373.
  7081. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11373.
  7082. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11397.
  7083. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11397.
  7084. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11397.
  7085. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11397.
  7086. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11397.
  7087. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11425.
  7088. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11425.
  7089. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11425.
  7090. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11425.
  7091. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11425.
  7092. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11457.
  7093. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11457.
  7094. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11457.
  7095. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11457.
  7096. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11493.
  7097. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11493.
  7098. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11493.
  7099. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11493.
  7100. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11502.
  7101. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11502.
  7102. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11502.
  7103. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11502.
  7104. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11502.
  7105. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11513.
  7106. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11513.
  7107. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11513.
  7108. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11513.
  7109. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11513.
  7110. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11535.
  7111. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11535.
  7112. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11535.
  7113. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11535.
  7114. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11535.
  7115. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11565.
  7116. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11565.
  7117. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11565.
  7118. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11565.
  7119. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11573.
  7120. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11573.
  7121. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11573.
  7122. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11573.
  7123. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11573.
  7124. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11591.
  7125. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11591.
  7126. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11591.
  7127. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11591.
  7128. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11625.
  7129. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11625.
  7130. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11625.
  7131. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11625.
  7132. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11637.
  7133. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11637.
  7134. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11637.
  7135. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11637.
  7136. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11637.
  7137. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11663.
  7138. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11663.
  7139. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11663.
  7140. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11663.
  7141. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11663.
  7142. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11721.
  7143. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11721.
  7144. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11721.
  7145. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11721.
  7146. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11721.
  7147. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11734.
  7148. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11734.
  7149. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11734.
  7150. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11734.
  7151. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11734.
  7152. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11749.
  7153. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11749.
  7154. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11749.
  7155. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11749.
  7156. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11749.
  7157. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11766.
  7158. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11766.
  7159. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11766.
  7160. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11766.
  7161. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11766.
  7162. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11785.
  7163. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11785.
  7164. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11785.
  7165. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11785.
  7166. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11806.
  7167. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11806.
  7168. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11806.
  7169. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11806.
  7170. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11829.
  7171. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11829.
  7172. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11829.
  7173. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11829.
  7174. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11829.
  7175. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11854.
  7176. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11854.
  7177. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11854.
  7178. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11854.
  7179. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11854.
  7180. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11881.
  7181. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11881.
  7182. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11881.
  7183. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11881.
  7184. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11881.
  7185. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11910.
  7186. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11910.
  7187. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11910.
  7188. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11910.
  7189. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11910.
  7190. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11941.
  7191. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11941.
  7192. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11941.
  7193. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11941.
  7194. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11941.
  7195. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11974.
  7196. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11974.
  7197. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11974.
  7198. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11974.
  7199. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$11974.
  7200. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12009.
  7201. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12009.
  7202. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12009.
  7203. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12009.
  7204. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12046.
  7205. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12046.
  7206. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12046.
  7207. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12046.
  7208. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12053.
  7209. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12053.
  7210. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12053.
  7211. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12053.
  7212. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12053.
  7213. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12063.
  7214. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12063.
  7215. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12063.
  7216. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12063.
  7217. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12063.
  7218. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12077.
  7219. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12077.
  7220. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12077.
  7221. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12077.
  7222. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12077.
  7223. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12093.
  7224. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12093.
  7225. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12093.
  7226. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12093.
  7227. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12093.
  7228. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12113.
  7229. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12113.
  7230. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12113.
  7231. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12113.
  7232. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12137.
  7233. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12137.
  7234. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12137.
  7235. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12137.
  7236. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12137.
  7237. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12165.
  7238. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12165.
  7239. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12165.
  7240. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12165.
  7241. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12165.
  7242. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12197.
  7243. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12197.
  7244. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12197.
  7245. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12197.
  7246. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12197.
  7247. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12233.
  7248. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12233.
  7249. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12233.
  7250. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12233.
  7251. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12242.
  7252. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12242.
  7253. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12242.
  7254. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12242.
  7255. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12242.
  7256. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12253.
  7257. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12253.
  7258. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12253.
  7259. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12253.
  7260. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12253.
  7261. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12275.
  7262. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12275.
  7263. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12275.
  7264. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12275.
  7265. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12275.
  7266. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12305.
  7267. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12305.
  7268. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12305.
  7269. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12305.
  7270. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12305.
  7271. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12313.
  7272. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12313.
  7273. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12313.
  7274. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12313.
  7275. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12313.
  7276. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12331.
  7277. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12331.
  7278. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12331.
  7279. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12331.
  7280. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12365.
  7281. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12365.
  7282. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12365.
  7283. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12365.
  7284. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12377.
  7285. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12377.
  7286. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12377.
  7287. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12377.
  7288. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12377.
  7289. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12403.
  7290. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12403.
  7291. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12403.
  7292. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12403.
  7293. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12403.
  7294. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12461.
  7295. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12461.
  7296. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12461.
  7297. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12461.
  7298. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12461.
  7299. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12474.
  7300. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12474.
  7301. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12474.
  7302. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12474.
  7303. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12474.
  7304. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12489.
  7305. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12489.
  7306. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12489.
  7307. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12489.
  7308. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12489.
  7309. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12506.
  7310. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12506.
  7311. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12506.
  7312. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12506.
  7313. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12506.
  7314. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12525.
  7315. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12525.
  7316. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12525.
  7317. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12525.
  7318. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12525.
  7319. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12546.
  7320. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12546.
  7321. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12546.
  7322. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12546.
  7323. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12546.
  7324. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12569.
  7325. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12569.
  7326. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12569.
  7327. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12569.
  7328. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12569.
  7329. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12594.
  7330. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12594.
  7331. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12594.
  7332. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12594.
  7333. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12594.
  7334. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12621.
  7335. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12621.
  7336. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12621.
  7337. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12621.
  7338. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12621.
  7339. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12650.
  7340. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12650.
  7341. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12650.
  7342. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12650.
  7343. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12650.
  7344. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12681.
  7345. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12681.
  7346. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12681.
  7347. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12681.
  7348. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12681.
  7349. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12714.
  7350. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12714.
  7351. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12714.
  7352. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12714.
  7353. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12714.
  7354. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12749.
  7355. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12749.
  7356. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12749.
  7357. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12749.
  7358. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12786.
  7359. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12786.
  7360. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12786.
  7361. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12786.
  7362. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12793.
  7363. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12793.
  7364. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12793.
  7365. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12793.
  7366. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12793.
  7367. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12803.
  7368. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12803.
  7369. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12803.
  7370. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12803.
  7371. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12803.
  7372. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12817.
  7373. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12817.
  7374. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12817.
  7375. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12817.
  7376. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12817.
  7377. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12833.
  7378. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12833.
  7379. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12833.
  7380. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12833.
  7381. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12833.
  7382. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12853.
  7383. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12853.
  7384. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12853.
  7385. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12853.
  7386. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12853.
  7387. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12877.
  7388. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12877.
  7389. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12877.
  7390. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12877.
  7391. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12877.
  7392. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12905.
  7393. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12905.
  7394. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12905.
  7395. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12905.
  7396. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12905.
  7397. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12937.
  7398. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12937.
  7399. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12937.
  7400. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12937.
  7401. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12937.
  7402. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12973.
  7403. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12973.
  7404. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12973.
  7405. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12973.
  7406. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12982.
  7407. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12982.
  7408. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12982.
  7409. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12982.
  7410. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12982.
  7411. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12993.
  7412. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12993.
  7413. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12993.
  7414. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12993.
  7415. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$12993.
  7416. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$13015.
  7417. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$13015.
  7418. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$13015.
  7419. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$13015.
  7420. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$13015.
  7421. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$13045.
  7422. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$13045.
  7423. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$13045.
  7424. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$13045.
  7425. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$13045.
  7426. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$13053.
  7427. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$13053.
  7428. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$13053.
  7429. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$13053.
  7430. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$13053.
  7431. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$13071.
  7432. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$13071.
  7433. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$13071.
  7434. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$13071.
  7435. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$13071.
  7436. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$13105.
  7437. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$13105.
  7438. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$13105.
  7439. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$13105.
  7440. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$13117.
  7441. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$13117.
  7442. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$13117.
  7443. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$13117.
  7444. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$13117.
  7445. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$13143.
  7446. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$13143.
  7447. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$13143.
  7448. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$13143.
  7449. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$13143.
  7450. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7281.
  7451. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7281.
  7452. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7281.
  7453. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7281.
  7454. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7294.
  7455. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7294.
  7456. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7294.
  7457. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7294.
  7458. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7309.
  7459. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7309.
  7460. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7309.
  7461. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7309.
  7462. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7326.
  7463. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7326.
  7464. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7326.
  7465. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7326.
  7466. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7345.
  7467. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7345.
  7468. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7345.
  7469. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7345.
  7470. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7366.
  7471. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7366.
  7472. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7366.
  7473. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7366.
  7474. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7389.
  7475. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7389.
  7476. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7389.
  7477. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7389.
  7478. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7414.
  7479. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7414.
  7480. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7414.
  7481. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7414.
  7482. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7441.
  7483. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7441.
  7484. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7441.
  7485. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7441.
  7486. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7470.
  7487. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7470.
  7488. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7470.
  7489. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7470.
  7490. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7501.
  7491. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7501.
  7492. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7501.
  7493. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7501.
  7494. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7534.
  7495. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7534.
  7496. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7534.
  7497. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7534.
  7498. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7569.
  7499. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7569.
  7500. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7569.
  7501. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7569.
  7502. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7606.
  7503. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7606.
  7504. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7606.
  7505. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7606.
  7506. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7613.
  7507. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7613.
  7508. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7613.
  7509. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7613.
  7510. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7623.
  7511. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7623.
  7512. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7623.
  7513. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7623.
  7514. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7637.
  7515. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7637.
  7516. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7637.
  7517. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7637.
  7518. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7653.
  7519. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7653.
  7520. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7653.
  7521. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7653.
  7522. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7673.
  7523. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7673.
  7524. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7673.
  7525. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7673.
  7526. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7697.
  7527. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7697.
  7528. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7697.
  7529. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7697.
  7530. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7725.
  7531. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7725.
  7532. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7725.
  7533. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7725.
  7534. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7757.
  7535. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7757.
  7536. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7757.
  7537. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7757.
  7538. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7793.
  7539. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7793.
  7540. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7793.
  7541. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7793.
  7542. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7802.
  7543. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7802.
  7544. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7802.
  7545. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7802.
  7546. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7813.
  7547. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7813.
  7548. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7813.
  7549. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7813.
  7550. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7835.
  7551. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7835.
  7552. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7835.
  7553. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7835.
  7554. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7865.
  7555. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7865.
  7556. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7865.
  7557. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7865.
  7558. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7873.
  7559. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7873.
  7560. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7873.
  7561. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7873.
  7562. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7891.
  7563. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7891.
  7564. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7891.
  7565. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7891.
  7566. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7925.
  7567. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7925.
  7568. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7925.
  7569. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7925.
  7570. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7937.
  7571. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7937.
  7572. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7937.
  7573. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7937.
  7574. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7963.
  7575. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7963.
  7576. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7963.
  7577. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$7963.
  7578. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8021.
  7579. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8021.
  7580. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8021.
  7581. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8021.
  7582. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8021.
  7583. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8034.
  7584. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8034.
  7585. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8034.
  7586. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8034.
  7587. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8049.
  7588. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8049.
  7589. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8049.
  7590. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8049.
  7591. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8066.
  7592. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8066.
  7593. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8066.
  7594. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8066.
  7595. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8085.
  7596. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8085.
  7597. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8085.
  7598. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8085.
  7599. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8106.
  7600. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8106.
  7601. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8106.
  7602. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8106.
  7603. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8129.
  7604. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8129.
  7605. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8129.
  7606. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8129.
  7607. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8154.
  7608. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8154.
  7609. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8154.
  7610. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8154.
  7611. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8181.
  7612. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8181.
  7613. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8181.
  7614. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8181.
  7615. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8210.
  7616. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8210.
  7617. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8210.
  7618. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8210.
  7619. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8241.
  7620. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8241.
  7621. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8241.
  7622. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8241.
  7623. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8274.
  7624. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8274.
  7625. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8274.
  7626. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8274.
  7627. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8309.
  7628. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8309.
  7629. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8309.
  7630. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8309.
  7631. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8346.
  7632. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8346.
  7633. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8346.
  7634. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8346.
  7635. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8353.
  7636. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8353.
  7637. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8353.
  7638. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8353.
  7639. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8353.
  7640. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8363.
  7641. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8363.
  7642. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8363.
  7643. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8363.
  7644. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8377.
  7645. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8377.
  7646. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8377.
  7647. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8377.
  7648. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8393.
  7649. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8393.
  7650. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8393.
  7651. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8393.
  7652. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8413.
  7653. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8413.
  7654. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8413.
  7655. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8413.
  7656. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8437.
  7657. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8437.
  7658. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8437.
  7659. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8437.
  7660. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8465.
  7661. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8465.
  7662. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8465.
  7663. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8465.
  7664. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8497.
  7665. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8497.
  7666. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8497.
  7667. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8497.
  7668. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8533.
  7669. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8533.
  7670. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8533.
  7671. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8533.
  7672. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8542.
  7673. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8542.
  7674. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8542.
  7675. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8542.
  7676. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8542.
  7677. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8553.
  7678. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8553.
  7679. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8553.
  7680. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8553.
  7681. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8575.
  7682. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8575.
  7683. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8575.
  7684. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8575.
  7685. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8605.
  7686. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8605.
  7687. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8605.
  7688. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8605.
  7689. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8613.
  7690. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8613.
  7691. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8613.
  7692. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8613.
  7693. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8613.
  7694. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8631.
  7695. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8631.
  7696. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8631.
  7697. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8631.
  7698. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8665.
  7699. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8665.
  7700. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8665.
  7701. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8665.
  7702. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8677.
  7703. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8677.
  7704. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8677.
  7705. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8677.
  7706. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8703.
  7707. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8703.
  7708. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8703.
  7709. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8703.
  7710. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8761.
  7711. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8761.
  7712. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8761.
  7713. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8761.
  7714. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8761.
  7715. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8774.
  7716. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8774.
  7717. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8774.
  7718. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8774.
  7719. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8789.
  7720. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8789.
  7721. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8789.
  7722. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8789.
  7723. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8806.
  7724. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8806.
  7725. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8806.
  7726. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8806.
  7727. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8825.
  7728. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8825.
  7729. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8825.
  7730. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8825.
  7731. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8846.
  7732. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8846.
  7733. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8846.
  7734. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8846.
  7735. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8869.
  7736. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8869.
  7737. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8869.
  7738. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8869.
  7739. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8869.
  7740. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8894.
  7741. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8894.
  7742. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8894.
  7743. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8894.
  7744. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8894.
  7745. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8921.
  7746. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8921.
  7747. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8921.
  7748. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8921.
  7749. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8950.
  7750. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8950.
  7751. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8950.
  7752. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8950.
  7753. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8981.
  7754. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8981.
  7755. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8981.
  7756. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$8981.
  7757. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9014.
  7758. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9014.
  7759. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9014.
  7760. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9014.
  7761. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9049.
  7762. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9049.
  7763. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9049.
  7764. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9049.
  7765. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9086.
  7766. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9086.
  7767. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9086.
  7768. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9086.
  7769. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9093.
  7770. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9093.
  7771. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9093.
  7772. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9093.
  7773. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9093.
  7774. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9103.
  7775. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9103.
  7776. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9103.
  7777. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9103.
  7778. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9117.
  7779. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9117.
  7780. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9117.
  7781. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9117.
  7782. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9133.
  7783. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9133.
  7784. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9133.
  7785. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9133.
  7786. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9153.
  7787. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9153.
  7788. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9153.
  7789. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9153.
  7790. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9177.
  7791. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9177.
  7792. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9177.
  7793. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9177.
  7794. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9177.
  7795. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9205.
  7796. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9205.
  7797. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9205.
  7798. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9205.
  7799. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9237.
  7800. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9237.
  7801. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9237.
  7802. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9237.
  7803. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9273.
  7804. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9273.
  7805. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9273.
  7806. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9273.
  7807. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9282.
  7808. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9282.
  7809. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9282.
  7810. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9282.
  7811. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9282.
  7812. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9293.
  7813. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9293.
  7814. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9293.
  7815. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9293.
  7816. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9315.
  7817. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9315.
  7818. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9315.
  7819. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9315.
  7820. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9315.
  7821. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9345.
  7822. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9345.
  7823. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9345.
  7824. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9345.
  7825. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9353.
  7826. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9353.
  7827. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9353.
  7828. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9353.
  7829. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9353.
  7830. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9371.
  7831. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9371.
  7832. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9371.
  7833. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9371.
  7834. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9405.
  7835. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9405.
  7836. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9405.
  7837. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9405.
  7838. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9417.
  7839. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9417.
  7840. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9417.
  7841. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9417.
  7842. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9443.
  7843. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9443.
  7844. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9443.
  7845. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9443.
  7846. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9501.
  7847. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9501.
  7848. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9501.
  7849. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9501.
  7850. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9501.
  7851. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9514.
  7852. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9514.
  7853. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9514.
  7854. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9514.
  7855. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9514.
  7856. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9529.
  7857. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9529.
  7858. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9529.
  7859. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9529.
  7860. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9546.
  7861. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9546.
  7862. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9546.
  7863. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9546.
  7864. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9565.
  7865. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9565.
  7866. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9565.
  7867. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9565.
  7868. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9586.
  7869. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9586.
  7870. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9586.
  7871. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9586.
  7872. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9609.
  7873. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9609.
  7874. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9609.
  7875. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9609.
  7876. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9609.
  7877. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9634.
  7878. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9634.
  7879. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9634.
  7880. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9634.
  7881. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9634.
  7882. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9661.
  7883. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9661.
  7884. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9661.
  7885. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9661.
  7886. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9690.
  7887. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9690.
  7888. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9690.
  7889. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9690.
  7890. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9721.
  7891. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9721.
  7892. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9721.
  7893. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9721.
  7894. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9754.
  7895. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9754.
  7896. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9754.
  7897. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9754.
  7898. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9789.
  7899. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9789.
  7900. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9789.
  7901. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9789.
  7902. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9826.
  7903. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9826.
  7904. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9826.
  7905. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9826.
  7906. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9833.
  7907. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9833.
  7908. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9833.
  7909. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9833.
  7910. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9833.
  7911. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9843.
  7912. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9843.
  7913. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9843.
  7914. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9843.
  7915. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9843.
  7916. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9857.
  7917. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9857.
  7918. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9857.
  7919. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9857.
  7920. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9873.
  7921. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9873.
  7922. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9873.
  7923. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9873.
  7924. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9893.
  7925. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9893.
  7926. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9893.
  7927. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9893.
  7928. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9917.
  7929. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9917.
  7930. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9917.
  7931. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9917.
  7932. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9917.
  7933. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9945.
  7934. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9945.
  7935. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9945.
  7936. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9945.
  7937. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9977.
  7938. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9977.
  7939. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9977.
  7940. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$9977.
  7941. dead port 2/2 on $mux $flatten\cache_bus_I.$procmux$13189.
  7942. dead port 2/2 on $mux $flatten\cache_bus_I.$procmux$13197.
  7943. dead port 2/2 on $mux $flatten\cache_bus_I.$procmux$13207.
  7944. dead port 2/2 on $mux $flatten\cache_bus_I.$procmux$13218.
  7945. dead port 1/2 on $mux $flatten\cache_bus_I.$procmux$13231.
  7946. dead port 2/2 on $mux $flatten\cache_bus_I.$procmux$13233.
  7947. dead port 2/2 on $mux $flatten\cache_bus_I.$procmux$13245.
  7948. dead port 2/2 on $mux $flatten\cache_I.$procmux$4735.
  7949. dead port 1/2 on $mux $flatten\cache_I.$procmux$4738.
  7950. dead port 1/2 on $mux $flatten\cache_I.$procmux$4744.
  7951. dead port 2/2 on $mux $flatten\cache_I.$procmux$4750.
  7952. dead port 1/2 on $mux $flatten\cache_I.$procmux$4753.
  7953. dead port 1/2 on $mux $flatten\cache_I.$procmux$4759.
  7954. dead port 2/2 on $mux $flatten\cache_I.$procmux$4765.
  7955. dead port 1/2 on $mux $flatten\cache_I.$procmux$4768.
  7956. dead port 1/2 on $mux $flatten\cache_I.$procmux$4774.
  7957. dead port 2/2 on $mux $flatten\cache_I.$procmux$4780.
  7958. dead port 1/2 on $mux $flatten\cache_I.$procmux$4783.
  7959. dead port 1/2 on $mux $flatten\cache_I.$procmux$4789.
  7960. dead port 1/2 on $mux $flatten\cache_I.$procmux$4796.
  7961. dead port 2/2 on $mux $flatten\cache_I.$procmux$4799.
  7962. dead port 2/2 on $mux $flatten\cache_I.$procmux$4801.
  7963. dead port 2/2 on $mux $flatten\cache_I.$procmux$4808.
  7964. dead port 2/2 on $mux $flatten\cache_I.$procmux$4810.
  7965. dead port 2/2 on $mux $flatten\cache_I.$procmux$4816.
  7966. dead port 2/2 on $mux $flatten\cpu_I.$procmux$6384.
  7967. dead port 1/2 on $mux $flatten\cache_I.$procmux$4823.
  7968. dead port 2/2 on $mux $flatten\cpu_I.$procmux$6404.
  7969. dead port 2/2 on $mux $flatten\cache_I.$procmux$4826.
  7970. dead port 1/2 on $mux $flatten\cpu_I.$procmux$6526.
  7971. dead port 2/2 on $mux $flatten\cache_I.$procmux$4828.
  7972. dead port 2/2 on $mux $flatten\cache_I.$procmux$4835.
  7973. dead port 2/2 on $mux $flatten\cache_I.$procmux$4837.
  7974. dead port 2/2 on $mux $flatten\cache_I.$procmux$4843.
  7975. dead port 1/2 on $mux $flatten\cpu_I.$procmux$6547.
  7976. dead port 1/2 on $mux $flatten\cpu_I.$procmux$6550.
  7977. dead port 1/2 on $mux $flatten\cpu_I.$procmux$6556.
  7978. dead port 1/2 on $mux $flatten\cpu_I.$procmux$6569.
  7979. dead port 2/2 on $mux $flatten\cpu_I.$procmux$6571.
  7980. dead port 2/2 on $mux $flatten\cpu_I.$procmux$6577.
  7981. dead port 1/2 on $mux $flatten\cpu_I.$procmux$6587.
  7982. dead port 2/2 on $mux $flatten\cpu_I.$procmux$6589.
  7983. dead port 2/2 on $mux $flatten\cpu_I.$procmux$6595.
  7984. dead port 2/2 on $mux $flatten\cpu_I.$procmux$6613.
  7985. dead port 1/2 on $mux $flatten\cpu_I.$procmux$6626.
  7986. dead port 2/2 on $mux $flatten\cpu_I.$procmux$6628.
  7987. dead port 2/2 on $mux $flatten\cpu_I.$procmux$6634.
  7988. dead port 1/2 on $mux $flatten\cpu_I.$procmux$6644.
  7989. dead port 2/2 on $mux $flatten\cpu_I.$procmux$6646.
  7990. dead port 2/2 on $mux $flatten\cpu_I.$procmux$6652.
  7991. dead port 2/2 on $mux $flatten\cpu_I.$procmux$6670.
  7992. dead port 1/2 on $mux $flatten\cpu_I.$procmux$6722.
  7993. dead port 1/2 on $mux $flatten\cpu_I.$procmux$6734.
  7994. dead port 1/2 on $mux $flatten\cache_I.$procmux$4850.
  7995. dead port 2/2 on $mux $flatten\cache_I.$procmux$4853.
  7996. dead port 2/2 on $mux $flatten\cache_I.$procmux$4855.
  7997. dead port 2/2 on $mux $flatten\cpu_I.$procmux$6808.
  7998. dead port 2/2 on $mux $flatten\cpu_I.$procmux$6865.
  7999. dead port 2/2 on $mux $flatten\cpu_I.$procmux$6886.
  8000. dead port 2/2 on $mux $flatten\cpu_I.$procmux$6931.
  8001. dead port 2/2 on $mux $flatten\cpu_I.$procmux$6953.
  8002. dead port 2/2 on $mux $flatten\cpu_I.$procmux$6963.
  8003. dead port 2/2 on $mux $flatten\cpu_I.$procmux$6965.
  8004. dead port 2/2 on $mux $flatten\cpu_I.$procmux$6971.
  8005. dead port 2/2 on $mux $flatten\cpu_I.$procmux$6981.
  8006. dead port 2/2 on $mux $flatten\cpu_I.$procmux$6983.
  8007. dead port 2/2 on $mux $flatten\cpu_I.$procmux$6989.
  8008. dead port 2/2 on $mux $flatten\cpu_I.$procmux$7001.
  8009. dead port 2/2 on $mux $flatten\cpu_I.$procmux$7007.
  8010. dead port 2/2 on $mux $flatten\cpu_I.$procmux$7016.
  8011. dead port 2/2 on $mux $flatten\cpu_I.$procmux$7026.
  8012. dead port 2/2 on $mux $flatten\cpu_I.$procmux$7028.
  8013. dead port 2/2 on $mux $flatten\cpu_I.$procmux$7034.
  8014. dead port 2/2 on $mux $flatten\cpu_I.$procmux$7044.
  8015. dead port 2/2 on $mux $flatten\cpu_I.$procmux$7046.
  8016. dead port 2/2 on $mux $flatten\cpu_I.$procmux$7052.
  8017. dead port 2/2 on $mux $flatten\cpu_I.$procmux$7064.
  8018. dead port 2/2 on $mux $flatten\cpu_I.$procmux$7070.
  8019. dead port 2/2 on $mux $flatten\cpu_I.$procmux$7079.
  8020. dead port 2/2 on $mux $flatten\cpu_I.\IBusCachedPlugin_cache.$procmux$7167.
  8021. dead port 2/2 on $mux $flatten\cache_I.$procmux$4862.
  8022. dead port 2/2 on $mux $flatten\cache_I.$procmux$4864.
  8023. dead port 2/2 on $mux $flatten\cache_I.$procmux$4870.
  8024. dead port 1/2 on $mux $flatten\cache_I.$procmux$4877.
  8025. dead port 2/2 on $mux $flatten\cache_I.$procmux$4880.
  8026. dead port 2/2 on $mux $flatten\cache_I.$procmux$4882.
  8027. dead port 2/2 on $mux $flatten\cache_I.$procmux$4889.
  8028. dead port 2/2 on $mux $flatten\cache_I.$procmux$4891.
  8029. dead port 2/2 on $mux $flatten\cache_I.$procmux$4897.
  8030. dead port 2/2 on $mux $flatten\memctrl_I.$procmux$5170.
  8031. dead port 1/2 on $mux $flatten\memctrl_I.$procmux$5173.
  8032. dead port 1/2 on $mux $flatten\memctrl_I.$procmux$5182.
  8033. dead port 2/2 on $mux $flatten\memctrl_I.$procmux$5184.
  8034. dead port 1/2 on $mux $flatten\memctrl_I.$procmux$5187.
  8035. dead port 2/2 on $mux $flatten\memctrl_I.$procmux$5209.
  8036. dead port 1/2 on $mux $flatten\memctrl_I.$procmux$5212.
  8037. dead port 1/2 on $mux $flatten\memctrl_I.$procmux$5242.
  8038. dead port 2/2 on $mux $flatten\memctrl_I.$procmux$5333.
  8039. dead port 2/2 on $mux $flatten\memctrl_I.$procmux$5504.
  8040. dead port 2/2 on $mux $flatten\memctrl_I.$procmux$5510.
  8041. dead port 2/2 on $mux $flatten\memctrl_I.$procmux$5513.
  8042. dead port 2/2 on $mux $flatten\memctrl_I.$procmux$5516.
  8043. dead port 2/2 on $mux $flatten\memctrl_I.$procmux$5519.
  8044. dead port 2/2 on $mux $flatten\memctrl_I.$procmux$5534.
  8045. dead port 2/2 on $mux $flatten\memctrl_I.$procmux$5548.
  8046. dead port 2/2 on $mux $flatten\memctrl_I.$procmux$5646.
  8047. dead port 2/2 on $mux $flatten\memctrl_I.$procmux$5657.
  8048. dead port 2/2 on $mux $flatten\memctrl_I.$procmux$5718.
  8049. dead port 2/2 on $mux $flatten\cache_I.$procmux$4997.
  8050. dead port 2/2 on $mux $flatten\memctrl_I.$procmux$5725.
  8051. dead port 2/2 on $mux $flatten\memctrl_I.$procmux$5733.
  8052. dead port 2/2 on $mux $flatten\memctrl_I.$procmux$5742.
  8053. dead port 2/2 on $mux $flatten\cache_I.$procmux$5003.
  8054. dead port 2/2 on $mux $flatten\memctrl_I.$procmux$5752.
  8055. dead port 2/2 on $mux $flatten\memctrl_I.$procmux$5763.
  8056. dead port 1/2 on $mux $flatten\memctrl_I.$procmux$5776.
  8057. dead port 2/2 on $mux $flatten\memctrl_I.$procmux$5778.
  8058. dead port 2/2 on $mux $flatten\cache_I.$procmux$5010.
  8059. dead port 2/2 on $mux $flatten\memctrl_I.$procmux$5790.
  8060. dead port 2/2 on $mux $flatten\cache_I.$procmux$5018.
  8061. dead port 1/2 on $mux $flatten\uart_I.\uart_rx_I.\genblk1.gf_I.$procmux$5124.
  8062. dead port 2/2 on $mux $flatten\vid_I.\tgen_I.$procmux$5074.
  8063. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10013.
  8064. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10013.
  8065. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10013.
  8066. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10013.
  8067. dead port 1/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10022.
  8068. dead port 2/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10022.
  8069. dead port 3/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10022.
  8070. dead port 4/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10022.
  8071. dead port 5/5 on $pmux $flatten\cache_I.\data_ram_I.$procmux$10022.
  8072. dead port 2/2 on $mux $flatten\vid_I.\tgen_I.$procmux$5089.
  8073. Removed 1259 multiplexer ports.
  8074. <suppressed ~415 debug messages>
  8075. 63.10.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  8076. Optimizing cells in module \top.
  8077. New ctrl vector for $pmux cell $flatten\cache_bus_I.$procmux$13248: { $flatten\cache_bus_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:177$3396_Y $flatten\cache_bus_I.$procmux$13219_CMP $flatten\cache_bus_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:230$3426_Y $flatten\cache_bus_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:264$3433_Y $auto$opt_reduce.cc:134:opt_pmux$21106 $flatten\cache_bus_I.$procmux$13167_CMP }
  8078. New ctrl vector for $pmux cell $flatten\cpu_I.$procmux$6394: $auto$opt_reduce.cc:134:opt_pmux$21108
  8079. New ctrl vector for $pmux cell $flatten\cpu_I.$procmux$6539: { $flatten\cpu_I.$procmux$6542_CMP $auto$opt_reduce.cc:134:opt_pmux$21110 }
  8080. Consolidated identical input bits for $mux cell $flatten\bram_I.$procmux$5130:
  8081. Old ports: A=0, B=32'11111111000000000000000000000000, Y=$flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:36$3352_EN[31:0]$3365
  8082. New ports: A=1'0, B=1'1, Y=$flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:36$3352_EN[31:0]$3365 [24]
  8083. New connections: { $flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:36$3352_EN[31:0]$3365 [31:25] $flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:36$3352_EN[31:0]$3365 [23:0] } = { $flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:36$3352_EN[31:0]$3365 [24] $flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:36$3352_EN[31:0]$3365 [24] $flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:36$3352_EN[31:0]$3365 [24] $flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:36$3352_EN[31:0]$3365 [24] $flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:36$3352_EN[31:0]$3365 [24] $flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:36$3352_EN[31:0]$3365 [24] $flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:36$3352_EN[31:0]$3365 [24] 24'000000000000000000000000 }
  8084. Consolidated identical input bits for $mux cell $flatten\bram_I.$procmux$5139:
  8085. Old ports: A=0, B=16711680, Y=$flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:35$3351_EN[31:0]$3362
  8086. New ports: A=1'0, B=1'1, Y=$flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:35$3351_EN[31:0]$3362 [16]
  8087. New connections: { $flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:35$3351_EN[31:0]$3362 [31:17] $flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:35$3351_EN[31:0]$3362 [15:0] } = { 8'00000000 $flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:35$3351_EN[31:0]$3362 [16] $flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:35$3351_EN[31:0]$3362 [16] $flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:35$3351_EN[31:0]$3362 [16] $flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:35$3351_EN[31:0]$3362 [16] $flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:35$3351_EN[31:0]$3362 [16] $flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:35$3351_EN[31:0]$3362 [16] $flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:35$3351_EN[31:0]$3362 [16] 16'0000000000000000 }
  8088. Consolidated identical input bits for $mux cell $flatten\bram_I.$procmux$5148:
  8089. Old ports: A=0, B=65280, Y=$flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:34$3350_EN[31:0]$3359
  8090. New ports: A=1'0, B=1'1, Y=$flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:34$3350_EN[31:0]$3359 [8]
  8091. New connections: { $flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:34$3350_EN[31:0]$3359 [31:9] $flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:34$3350_EN[31:0]$3359 [7:0] } = { 16'0000000000000000 $flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:34$3350_EN[31:0]$3359 [8] $flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:34$3350_EN[31:0]$3359 [8] $flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:34$3350_EN[31:0]$3359 [8] $flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:34$3350_EN[31:0]$3359 [8] $flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:34$3350_EN[31:0]$3359 [8] $flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:34$3350_EN[31:0]$3359 [8] $flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:34$3350_EN[31:0]$3359 [8] 8'00000000 }
  8092. Consolidated identical input bits for $mux cell $flatten\bram_I.$procmux$5157:
  8093. Old ports: A=0, B=255, Y=$flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:33$3349_EN[31:0]$3356
  8094. New ports: A=1'0, B=1'1, Y=$flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:33$3349_EN[31:0]$3356 [0]
  8095. New connections: $flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:33$3349_EN[31:0]$3356 [31:1] = { 24'000000000000000000000000 $flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:33$3349_EN[31:0]$3356 [0] $flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:33$3349_EN[31:0]$3356 [0] $flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:33$3349_EN[31:0]$3356 [0] $flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:33$3349_EN[31:0]$3356 [0] $flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:33$3349_EN[31:0]$3356 [0] $flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:33$3349_EN[31:0]$3356 [0] $flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:33$3349_EN[31:0]$3356 [0] }
  8096. Consolidated identical input bits for $mux cell $flatten\cpu_I.$procmux$7093:
  8097. Old ports: A=0, B=32'11111111111111111111111111111111, Y=$flatten\cpu_I.$0$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_EN[31:0]$1973
  8098. New ports: A=1'0, B=1'1, Y=$flatten\cpu_I.$0$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_EN[31:0]$1973 [0]
  8099. New connections: $flatten\cpu_I.$0$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_EN[31:0]$1973 [31:1] = { $flatten\cpu_I.$0$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_EN[31:0]$1973 [0] $flatten\cpu_I.$0$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_EN[31:0]$1973 [0] $flatten\cpu_I.$0$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_EN[31:0]$1973 [0] $flatten\cpu_I.$0$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_EN[31:0]$1973 [0] $flatten\cpu_I.$0$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_EN[31:0]$1973 [0] $flatten\cpu_I.$0$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_EN[31:0]$1973 [0] $flatten\cpu_I.$0$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_EN[31:0]$1973 [0] $flatten\cpu_I.$0$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_EN[31:0]$1973 [0] $flatten\cpu_I.$0$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_EN[31:0]$1973 [0] $flatten\cpu_I.$0$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_EN[31:0]$1973 [0] $flatten\cpu_I.$0$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_EN[31:0]$1973 [0] $flatten\cpu_I.$0$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_EN[31:0]$1973 [0] $flatten\cpu_I.$0$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_EN[31:0]$1973 [0] $flatten\cpu_I.$0$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_EN[31:0]$1973 [0] $flatten\cpu_I.$0$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_EN[31:0]$1973 [0] $flatten\cpu_I.$0$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_EN[31:0]$1973 [0] $flatten\cpu_I.$0$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_EN[31:0]$1973 [0] $flatten\cpu_I.$0$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_EN[31:0]$1973 [0] $flatten\cpu_I.$0$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_EN[31:0]$1973 [0] $flatten\cpu_I.$0$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_EN[31:0]$1973 [0] $flatten\cpu_I.$0$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_EN[31:0]$1973 [0] $flatten\cpu_I.$0$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_EN[31:0]$1973 [0] $flatten\cpu_I.$0$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_EN[31:0]$1973 [0] $flatten\cpu_I.$0$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_EN[31:0]$1973 [0] $flatten\cpu_I.$0$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_EN[31:0]$1973 [0] $flatten\cpu_I.$0$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_EN[31:0]$1973 [0] $flatten\cpu_I.$0$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_EN[31:0]$1973 [0] $flatten\cpu_I.$0$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_EN[31:0]$1973 [0] $flatten\cpu_I.$0$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_EN[31:0]$1973 [0] $flatten\cpu_I.$0$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_EN[31:0]$1973 [0] $flatten\cpu_I.$0$memwr$\RegFilePlugin_regFile$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1715$1782_EN[31:0]$1973 [0] }
  8100. Consolidated identical input bits for $mux cell $flatten\cpu_I.\IBusCachedPlugin_cache.$procmux$7181:
  8101. Old ports: A=0, B=32'11111111111111111111111111111111, Y=$flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_EN[31:0]$1737
  8102. New ports: A=1'0, B=1'1, Y=$flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_EN[31:0]$1737 [0]
  8103. New connections: $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_EN[31:0]$1737 [31:1] = { $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_EN[31:0]$1737 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_EN[31:0]$1737 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_EN[31:0]$1737 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_EN[31:0]$1737 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_EN[31:0]$1737 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_EN[31:0]$1737 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_EN[31:0]$1737 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_EN[31:0]$1737 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_EN[31:0]$1737 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_EN[31:0]$1737 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_EN[31:0]$1737 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_EN[31:0]$1737 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_EN[31:0]$1737 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_EN[31:0]$1737 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_EN[31:0]$1737 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_EN[31:0]$1737 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_EN[31:0]$1737 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_EN[31:0]$1737 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_EN[31:0]$1737 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_EN[31:0]$1737 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_EN[31:0]$1737 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_EN[31:0]$1737 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_EN[31:0]$1737 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_EN[31:0]$1737 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_EN[31:0]$1737 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_EN[31:0]$1737 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_EN[31:0]$1737 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_EN[31:0]$1737 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_EN[31:0]$1737 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_EN[31:0]$1737 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:169$1720_EN[31:0]$1737 [0] }
  8104. Consolidated identical input bits for $mux cell $flatten\cpu_I.\IBusCachedPlugin_cache.$procmux$7192:
  8105. Old ports: A=23'00000000000000000000000, B=23'11111111111111111111111, Y=$flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_tags$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:157$1719_EN[22:0]$1728
  8106. New ports: A=1'0, B=1'1, Y=$flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_tags$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:157$1719_EN[22:0]$1728 [0]
  8107. New connections: $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_tags$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:157$1719_EN[22:0]$1728 [22:1] = { $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_tags$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:157$1719_EN[22:0]$1728 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_tags$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:157$1719_EN[22:0]$1728 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_tags$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:157$1719_EN[22:0]$1728 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_tags$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:157$1719_EN[22:0]$1728 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_tags$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:157$1719_EN[22:0]$1728 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_tags$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:157$1719_EN[22:0]$1728 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_tags$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:157$1719_EN[22:0]$1728 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_tags$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:157$1719_EN[22:0]$1728 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_tags$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:157$1719_EN[22:0]$1728 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_tags$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:157$1719_EN[22:0]$1728 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_tags$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:157$1719_EN[22:0]$1728 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_tags$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:157$1719_EN[22:0]$1728 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_tags$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:157$1719_EN[22:0]$1728 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_tags$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:157$1719_EN[22:0]$1728 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_tags$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:157$1719_EN[22:0]$1728 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_tags$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:157$1719_EN[22:0]$1728 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_tags$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:157$1719_EN[22:0]$1728 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_tags$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:157$1719_EN[22:0]$1728 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_tags$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:157$1719_EN[22:0]$1728 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_tags$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:157$1719_EN[22:0]$1728 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_tags$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:157$1719_EN[22:0]$1728 [0] $flatten\cpu_I.\IBusCachedPlugin_cache.$0$memwr$\ways_0_tags$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:157$1719_EN[22:0]$1728 [0] }
  8108. New ctrl vector for $pmux cell $flatten\memctrl_I.$procmux$5499: { $flatten\memctrl_I.$procmux$5503_CMP $flatten\memctrl_I.$procmux$5502_CMP $auto$opt_reduce.cc:134:opt_pmux$21112 }
  8109. New ctrl vector for $pmux cell $flatten\memctrl_I.$procmux$5551: { $flatten\memctrl_I.$procmux$5557_CMP $auto$opt_reduce.cc:134:opt_pmux$21114 $flatten\memctrl_I.$procmux$5554_CMP $flatten\memctrl_I.$procmux$5553_CMP $flatten\memctrl_I.$procmux$5552_CMP }
  8110. New ctrl vector for $pmux cell $flatten\memctrl_I.$procmux$5629: { $flatten\memctrl_I.$procmux$5644_CMP $flatten\memctrl_I.$procmux$5643_CMP $flatten\memctrl_I.$procmux$5642_CMP $auto$opt_reduce.cc:134:opt_pmux$21122 $auto$opt_reduce.cc:134:opt_pmux$21120 $auto$opt_reduce.cc:134:opt_pmux$21118 $auto$opt_reduce.cc:134:opt_pmux$21116 }
  8111. New ctrl vector for $pmux cell $flatten\memctrl_I.$procmux$5667: { \memctrl_I.ectl_grant $flatten\memctrl_I.$procmux$5669_CMP $auto$opt_reduce.cc:134:opt_pmux$21124 }
  8112. New ctrl vector for $pmux cell $flatten\memctrl_I.$procmux$5674: { \memctrl_I.ectl_grant $auto$opt_reduce.cc:134:opt_pmux$21126 $flatten\memctrl_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:509$3047_Y }
  8113. New ctrl vector for $pmux cell $flatten\memctrl_I.$procmux$5681: { \memctrl_I.ectl_idle \memctrl_I.ectl_grant $flatten\memctrl_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:509$3046_Y $auto$opt_reduce.cc:134:opt_pmux$21128 }
  8114. New ctrl vector for $pmux cell $flatten\memctrl_I.$procmux$5688: { \memctrl_I.ectl_idle \memctrl_I.ectl_grant $auto$opt_reduce.cc:134:opt_pmux$21130 }
  8115. Consolidated identical input bits for $mux cell $flatten\uart_I.\uart_rx_fifo_I.\ram_I.$procmux$5052:
  8116. Old ports: A=8'00000000, B=8'11111111, Y=$flatten\uart_I.\uart_rx_fifo_I.\ram_I.$0$memwr$\ram$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v:47$4399_EN[7:0]$4403
  8117. New ports: A=1'0, B=1'1, Y=$flatten\uart_I.\uart_rx_fifo_I.\ram_I.$0$memwr$\ram$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v:47$4399_EN[7:0]$4403 [0]
  8118. New connections: $flatten\uart_I.\uart_rx_fifo_I.\ram_I.$0$memwr$\ram$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v:47$4399_EN[7:0]$4403 [7:1] = { $flatten\uart_I.\uart_rx_fifo_I.\ram_I.$0$memwr$\ram$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v:47$4399_EN[7:0]$4403 [0] $flatten\uart_I.\uart_rx_fifo_I.\ram_I.$0$memwr$\ram$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v:47$4399_EN[7:0]$4403 [0] $flatten\uart_I.\uart_rx_fifo_I.\ram_I.$0$memwr$\ram$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v:47$4399_EN[7:0]$4403 [0] $flatten\uart_I.\uart_rx_fifo_I.\ram_I.$0$memwr$\ram$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v:47$4399_EN[7:0]$4403 [0] $flatten\uart_I.\uart_rx_fifo_I.\ram_I.$0$memwr$\ram$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v:47$4399_EN[7:0]$4403 [0] $flatten\uart_I.\uart_rx_fifo_I.\ram_I.$0$memwr$\ram$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v:47$4399_EN[7:0]$4403 [0] $flatten\uart_I.\uart_rx_fifo_I.\ram_I.$0$memwr$\ram$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v:47$4399_EN[7:0]$4403 [0] }
  8119. Consolidated identical input bits for $mux cell $flatten\uart_I.\uart_tx_fifo_I.\ram_I.$procmux$5052:
  8120. Old ports: A=8'00000000, B=8'11111111, Y=$flatten\uart_I.\uart_tx_fifo_I.\ram_I.$0$memwr$\ram$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v:47$4399_EN[7:0]$4403
  8121. New ports: A=1'0, B=1'1, Y=$flatten\uart_I.\uart_tx_fifo_I.\ram_I.$0$memwr$\ram$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v:47$4399_EN[7:0]$4403 [0]
  8122. New connections: $flatten\uart_I.\uart_tx_fifo_I.\ram_I.$0$memwr$\ram$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v:47$4399_EN[7:0]$4403 [7:1] = { $flatten\uart_I.\uart_tx_fifo_I.\ram_I.$0$memwr$\ram$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v:47$4399_EN[7:0]$4403 [0] $flatten\uart_I.\uart_tx_fifo_I.\ram_I.$0$memwr$\ram$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v:47$4399_EN[7:0]$4403 [0] $flatten\uart_I.\uart_tx_fifo_I.\ram_I.$0$memwr$\ram$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v:47$4399_EN[7:0]$4403 [0] $flatten\uart_I.\uart_tx_fifo_I.\ram_I.$0$memwr$\ram$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v:47$4399_EN[7:0]$4403 [0] $flatten\uart_I.\uart_tx_fifo_I.\ram_I.$0$memwr$\ram$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v:47$4399_EN[7:0]$4403 [0] $flatten\uart_I.\uart_tx_fifo_I.\ram_I.$0$memwr$\ram$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v:47$4399_EN[7:0]$4403 [0] $flatten\uart_I.\uart_tx_fifo_I.\ram_I.$0$memwr$\ram$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v:47$4399_EN[7:0]$4403 [0] }
  8123. Optimizing cells in module \top.
  8124. Performed a total of 21 changes.
  8125. 63.10.5. Executing OPT_MERGE pass (detect identical cells).
  8126. Finding identical cells in module `\top'.
  8127. <suppressed ~42 debug messages>
  8128. Removed a total of 14 cells.
  8129. 63.10.6. Executing OPT_DFF pass (perform DFF optimizations).
  8130. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$20356 ($dlatch) from module top (changing to combinatorial circuit).
  8131. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$20289 ($dlatch) from module top (changing to combinatorial circuit).
  8132. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$20222 ($dlatch) from module top (changing to combinatorial circuit).
  8133. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$20155 ($dlatch) from module top (changing to combinatorial circuit).
  8134. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$20088 ($dlatch) from module top (changing to combinatorial circuit).
  8135. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$20021 ($dlatch) from module top (changing to combinatorial circuit).
  8136. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$19810 ($dlatch) from module top (changing to combinatorial circuit).
  8137. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$19599 ($dlatch) from module top (changing to combinatorial circuit).
  8138. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$19388 ($dlatch) from module top (changing to combinatorial circuit).
  8139. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$19177 ($dlatch) from module top (changing to combinatorial circuit).
  8140. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$18966 ($dlatch) from module top (changing to combinatorial circuit).
  8141. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$18755 ($dlatch) from module top (changing to combinatorial circuit).
  8142. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$18544 ($dlatch) from module top (changing to combinatorial circuit).
  8143. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$18333 ($dlatch) from module top (changing to combinatorial circuit).
  8144. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$18122 ($dlatch) from module top (changing to combinatorial circuit).
  8145. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$17911 ($dlatch) from module top (changing to combinatorial circuit).
  8146. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$17700 ($dlatch) from module top (changing to combinatorial circuit).
  8147. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$17489 ($dlatch) from module top (changing to combinatorial circuit).
  8148. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$17278 ($dlatch) from module top (changing to combinatorial circuit).
  8149. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$17067 ($dlatch) from module top (changing to combinatorial circuit).
  8150. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$16856 ($dlatch) from module top (changing to combinatorial circuit).
  8151. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$16645 ($dlatch) from module top (changing to combinatorial circuit).
  8152. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$16434 ($dlatch) from module top (changing to combinatorial circuit).
  8153. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$16223 ($dlatch) from module top (changing to combinatorial circuit).
  8154. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$16012 ($dlatch) from module top (changing to combinatorial circuit).
  8155. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$15801 ($dlatch) from module top (changing to combinatorial circuit).
  8156. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$15590 ($dlatch) from module top (changing to combinatorial circuit).
  8157. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$15379 ($dlatch) from module top (changing to combinatorial circuit).
  8158. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$15168 ($dlatch) from module top (changing to combinatorial circuit).
  8159. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$14957 ($dlatch) from module top (changing to combinatorial circuit).
  8160. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$14746 ($dlatch) from module top (changing to combinatorial circuit).
  8161. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$14535 ($dlatch) from module top (changing to combinatorial circuit).
  8162. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$14324 ($dlatch) from module top (changing to combinatorial circuit).
  8163. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$14113 ($dlatch) from module top (changing to combinatorial circuit).
  8164. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$13902 ($dlatch) from module top (changing to combinatorial circuit).
  8165. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$13691 ($dlatch) from module top (changing to combinatorial circuit).
  8166. Handling always-active async load on $flatten\cache_I.\data_ram_I.$auto$proc_dlatch.cc:433:proc_dlatch$13480 ($dlatch) from module top (changing to combinatorial circuit).
  8167. Changing const-value async load to async reset on $flatten\cpu_I.$procdff$20810 ($aldff) from module top.
  8168. Setting constant 0-bit at position 12 on $flatten\cache_I.\genblk1[0].tag_ram_I.$procdff$20627 ($dff) from module top.
  8169. Setting constant 0-bit at position 13 on $flatten\cache_I.\genblk1[0].tag_ram_I.$procdff$20627 ($dff) from module top.
  8170. Setting constant 0-bit at position 0 on $flatten\cpu_I.$procdff$20708 ($dff) from module top.
  8171. Setting constant 0-bit at position 0 on $flatten\cpu_I.$procdff$20725 ($dff) from module top.
  8172. Setting constant 0-bit at position 1 on $flatten\cpu_I.$procdff$20725 ($dff) from module top.
  8173. Setting constant 0-bit at position 2 on $flatten\cpu_I.$procdff$20725 ($dff) from module top.
  8174. Setting constant 0-bit at position 3 on $flatten\cpu_I.$procdff$20725 ($dff) from module top.
  8175. Setting constant 0-bit at position 4 on $flatten\cpu_I.$procdff$20725 ($dff) from module top.
  8176. Setting constant 0-bit at position 5 on $flatten\cpu_I.$procdff$20725 ($dff) from module top.
  8177. Setting constant 0-bit at position 6 on $flatten\cpu_I.$procdff$20725 ($dff) from module top.
  8178. Setting constant 0-bit at position 7 on $flatten\cpu_I.$procdff$20725 ($dff) from module top.
  8179. Setting constant 0-bit at position 8 on $flatten\cpu_I.$procdff$20725 ($dff) from module top.
  8180. Setting constant 0-bit at position 9 on $flatten\cpu_I.$procdff$20725 ($dff) from module top.
  8181. Setting constant 0-bit at position 10 on $flatten\cpu_I.$procdff$20725 ($dff) from module top.
  8182. Setting constant 0-bit at position 11 on $flatten\cpu_I.$procdff$20725 ($dff) from module top.
  8183. Setting constant 0-bit at position 12 on $flatten\cpu_I.$procdff$20725 ($dff) from module top.
  8184. Setting constant 0-bit at position 13 on $flatten\cpu_I.$procdff$20725 ($dff) from module top.
  8185. Setting constant 0-bit at position 14 on $flatten\cpu_I.$procdff$20725 ($dff) from module top.
  8186. Setting constant 0-bit at position 15 on $flatten\cpu_I.$procdff$20725 ($dff) from module top.
  8187. Setting constant 0-bit at position 16 on $flatten\cpu_I.$procdff$20725 ($dff) from module top.
  8188. Setting constant 0-bit at position 17 on $flatten\cpu_I.$procdff$20725 ($dff) from module top.
  8189. Setting constant 0-bit at position 18 on $flatten\cpu_I.$procdff$20725 ($dff) from module top.
  8190. Setting constant 0-bit at position 19 on $flatten\cpu_I.$procdff$20725 ($dff) from module top.
  8191. Setting constant 0-bit at position 20 on $flatten\cpu_I.$procdff$20725 ($dff) from module top.
  8192. Setting constant 0-bit at position 21 on $flatten\cpu_I.$procdff$20725 ($dff) from module top.
  8193. Setting constant 0-bit at position 22 on $flatten\cpu_I.$procdff$20725 ($dff) from module top.
  8194. Setting constant 0-bit at position 23 on $flatten\cpu_I.$procdff$20725 ($dff) from module top.
  8195. Setting constant 0-bit at position 24 on $flatten\cpu_I.$procdff$20725 ($dff) from module top.
  8196. Setting constant 0-bit at position 25 on $flatten\cpu_I.$procdff$20725 ($dff) from module top.
  8197. Setting constant 0-bit at position 26 on $flatten\cpu_I.$procdff$20725 ($dff) from module top.
  8198. Setting constant 0-bit at position 27 on $flatten\cpu_I.$procdff$20725 ($dff) from module top.
  8199. Setting constant 0-bit at position 28 on $flatten\cpu_I.$procdff$20725 ($dff) from module top.
  8200. Setting constant 0-bit at position 29 on $flatten\cpu_I.$procdff$20725 ($dff) from module top.
  8201. Setting constant 0-bit at position 30 on $flatten\cpu_I.$procdff$20725 ($dff) from module top.
  8202. Setting constant 0-bit at position 31 on $flatten\cpu_I.$procdff$20725 ($dff) from module top.
  8203. Setting constant 0-bit at position 12 on $flatten\cache_I.\genblk1[1].tag_ram_I.$procdff$20627 ($dff) from module top.
  8204. Setting constant 0-bit at position 13 on $flatten\cache_I.\genblk1[1].tag_ram_I.$procdff$20627 ($dff) from module top.
  8205. Setting constant 0-bit at position 12 on $flatten\cache_I.\genblk1[2].tag_ram_I.$procdff$20627 ($dff) from module top.
  8206. Setting constant 0-bit at position 13 on $flatten\cache_I.\genblk1[2].tag_ram_I.$procdff$20627 ($dff) from module top.
  8207. Setting constant 0-bit at position 12 on $flatten\cache_I.\genblk1[3].tag_ram_I.$procdff$20627 ($dff) from module top.
  8208. Setting constant 0-bit at position 13 on $flatten\cache_I.\genblk1[3].tag_ram_I.$procdff$20627 ($dff) from module top.
  8209. 63.10.7. Executing OPT_CLEAN pass (remove unused cells and wires).
  8210. Finding unused cells or wires in module \top..
  8211. Removed 0 unused cells and 409 unused wires.
  8212. <suppressed ~47 debug messages>
  8213. 63.10.8. Executing OPT_EXPR pass (perform const folding).
  8214. Optimizing module top.
  8215. <suppressed ~9 debug messages>
  8216. 63.10.9. Rerunning OPT passes. (Maybe there is more to do..)
  8217. 63.10.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
  8218. Running muxtree optimizer on module \top..
  8219. Creating internal representation of mux trees.
  8220. Evaluating internal representation of mux trees.
  8221. Analyzing evaluation results.
  8222. Removed 0 multiplexer ports.
  8223. <suppressed ~370 debug messages>
  8224. 63.10.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  8225. Optimizing cells in module \top.
  8226. New ctrl vector for $pmux cell $flatten\memctrl_I.$procmux$5793: { \cache_I.mi_ready \memctrl_I.ectl_grant $flatten\memctrl_I.$procmux$5669_CMP $auto$opt_reduce.cc:134:opt_pmux$21136 $flatten\memctrl_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:765$3156_Y $flatten\memctrl_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:516$3052_Y }
  8227. Optimizing cells in module \top.
  8228. Performed a total of 1 changes.
  8229. 63.10.12. Executing OPT_MERGE pass (detect identical cells).
  8230. Finding identical cells in module `\top'.
  8231. Removed a total of 0 cells.
  8232. 63.10.13. Executing OPT_DFF pass (perform DFF optimizations).
  8233. Setting constant 0-bit at position 0 on $flatten\cpu_I.$procdff$20707 ($dff) from module top.
  8234. 63.10.14. Executing OPT_CLEAN pass (remove unused cells and wires).
  8235. Finding unused cells or wires in module \top..
  8236. Removed 3 unused cells and 7 unused wires.
  8237. <suppressed ~5 debug messages>
  8238. 63.10.15. Executing OPT_EXPR pass (perform const folding).
  8239. Optimizing module top.
  8240. <suppressed ~5 debug messages>
  8241. 63.10.16. Rerunning OPT passes. (Maybe there is more to do..)
  8242. 63.10.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
  8243. Running muxtree optimizer on module \top..
  8244. Creating internal representation of mux trees.
  8245. Evaluating internal representation of mux trees.
  8246. Analyzing evaluation results.
  8247. Removed 0 multiplexer ports.
  8248. <suppressed ~368 debug messages>
  8249. 63.10.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  8250. Optimizing cells in module \top.
  8251. Performed a total of 0 changes.
  8252. 63.10.19. Executing OPT_MERGE pass (detect identical cells).
  8253. Finding identical cells in module `\top'.
  8254. Removed a total of 0 cells.
  8255. 63.10.20. Executing OPT_DFF pass (perform DFF optimizations).
  8256. 63.10.21. Executing OPT_CLEAN pass (remove unused cells and wires).
  8257. Finding unused cells or wires in module \top..
  8258. Removed 0 unused cells and 3 unused wires.
  8259. <suppressed ~1 debug messages>
  8260. 63.10.22. Executing OPT_EXPR pass (perform const folding).
  8261. Optimizing module top.
  8262. 63.10.23. Rerunning OPT passes. (Maybe there is more to do..)
  8263. 63.10.24. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
  8264. Running muxtree optimizer on module \top..
  8265. Creating internal representation of mux trees.
  8266. Evaluating internal representation of mux trees.
  8267. Analyzing evaluation results.
  8268. Removed 0 multiplexer ports.
  8269. <suppressed ~368 debug messages>
  8270. 63.10.25. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  8271. Optimizing cells in module \top.
  8272. Performed a total of 0 changes.
  8273. 63.10.26. Executing OPT_MERGE pass (detect identical cells).
  8274. Finding identical cells in module `\top'.
  8275. Removed a total of 0 cells.
  8276. 63.10.27. Executing OPT_DFF pass (perform DFF optimizations).
  8277. 63.10.28. Executing OPT_CLEAN pass (remove unused cells and wires).
  8278. Finding unused cells or wires in module \top..
  8279. 63.10.29. Executing OPT_EXPR pass (perform const folding).
  8280. Optimizing module top.
  8281. 63.10.30. Finished OPT passes. (There is nothing left to do.)
  8282. 63.11. Executing FSM pass (extract and optimize FSM).
  8283. 63.11.1. Executing FSM_DETECT pass (finding FSMs in design).
  8284. Not marking top.cache_I.ctrl_state as FSM state register:
  8285. Circuit seems to be self-resetting.
  8286. Not marking top.cache_I.ev_way_r as FSM state register:
  8287. Users of register don't seem to benefit from recoding.
  8288. Found FSM state register top.cache_bus_I.state.
  8289. Not marking top.cpu_I.CsrPlugin_exceptionPortCtrl_exceptionContext_code as FSM state register:
  8290. Users of register don't seem to benefit from recoding.
  8291. Not marking top.cpu_I.CsrPlugin_interrupt_code as FSM state register:
  8292. Users of register don't seem to benefit from recoding.
  8293. Not marking top.cpu_I.CsrPlugin_interrupt_targetPrivilege as FSM state register:
  8294. Users of register don't seem to benefit from recoding.
  8295. Not marking top.memctrl_I.so_dst as FSM state register:
  8296. Users of register don't seem to benefit from recoding.
  8297. Not marking top.memctrl_I.so_mode as FSM state register:
  8298. Users of register don't seem to benefit from recoding.
  8299. Found FSM state register top.memctrl_I.state.
  8300. 63.11.2. Executing FSM_EXTRACT pass (extracting FSM from design).
  8301. Extracting FSM `\cache_bus_I.state' from module `\top'.
  8302. found $dff cell for state register: $flatten\cache_bus_I.$procdff$20906
  8303. root of input selection tree: $flatten\cache_bus_I.$0\state[2:0]
  8304. found reset state: 3'000 (guessed from mux tree)
  8305. found ctrl input: \cache_I.rst
  8306. found ctrl input: $flatten\cache_bus_I.$procmux$13167_CMP
  8307. found ctrl input: $auto$opt_reduce.cc:134:opt_pmux$21106
  8308. found ctrl input: $flatten\cache_bus_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:264$3433_Y
  8309. found ctrl input: $flatten\cache_bus_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:230$3426_Y
  8310. found ctrl input: $flatten\cache_bus_I.$procmux$13219_CMP
  8311. found ctrl input: $flatten\cache_bus_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:177$3396_Y
  8312. found ctrl input: \cache_bus_I.wb_ack_i
  8313. found state code: 3'000
  8314. found ctrl input: \cache_I.resp_ack
  8315. found ctrl input: \cache_bus_I.ib_addr_last
  8316. found ctrl input: \cache_bus_I.ctrl_is_cache
  8317. found state code: 3'110
  8318. found state code: 3'101
  8319. found ctrl input: \cache_bus_I.i_axi_ar_valid
  8320. found ctrl input: \cpu_I.dBus_cmd_halfPipe_regs_valid
  8321. found ctrl input: \cpu_I.dBus_cmd_halfPipe_regs_payload_address [31]
  8322. found ctrl input: \cpu_I.dBus_cmd_halfPipe_regs_payload_address [30]
  8323. found state code: 3'010
  8324. found state code: 3'001
  8325. found state code: 3'011
  8326. found ctrl input: \cpu_I.IBusCachedPlugin_cache.lineLoader_address [30]
  8327. found state code: 3'100
  8328. found ctrl output: $flatten\cache_bus_I.$procmux$13219_CMP
  8329. found ctrl output: $flatten\cache_bus_I.$procmux$13167_CMP
  8330. found ctrl output: $flatten\cache_bus_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:278$3443_Y
  8331. found ctrl output: $flatten\cache_bus_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:264$3433_Y
  8332. found ctrl output: $flatten\cache_bus_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:230$3426_Y
  8333. found ctrl output: $flatten\cache_bus_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:227$3423_Y
  8334. found ctrl output: $flatten\cache_bus_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:177$3396_Y
  8335. ctrl inputs: { $auto$opt_reduce.cc:134:opt_pmux$21106 \cache_I.resp_ack \cache_I.rst \cache_bus_I.i_axi_ar_valid \cache_bus_I.ctrl_is_cache \cache_bus_I.ib_addr_last \cache_bus_I.wb_ack_i \cpu_I.dBus_cmd_halfPipe_regs_valid \cpu_I.dBus_cmd_halfPipe_regs_payload_address [31:30] \cpu_I.IBusCachedPlugin_cache.lineLoader_address [30] }
  8336. ctrl outputs: { $flatten\cache_bus_I.$0\state[2:0] $flatten\cache_bus_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:177$3396_Y $flatten\cache_bus_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:227$3423_Y $flatten\cache_bus_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:230$3426_Y $flatten\cache_bus_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:264$3433_Y $flatten\cache_bus_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:278$3443_Y $flatten\cache_bus_I.$procmux$13167_CMP $flatten\cache_bus_I.$procmux$13219_CMP }
  8337. transition: 3'000 11'--00---0--- -> 3'000 10'0001000000
  8338. transition: 3'000 11'--00---100- -> 3'010 10'0101000000
  8339. transition: 3'000 11'--00---101- -> 3'001 10'0011000000
  8340. transition: 3'000 11'--00---11-- -> 3'011 10'0111000000
  8341. transition: 3'000 11'--01------0 -> 3'101 10'1011000000
  8342. transition: 3'000 11'--01------1 -> 3'100 10'1001000000
  8343. transition: 3'000 11'--1-------- -> 3'000 10'0001000000
  8344. transition: 3'100 11'-00-------- -> 3'100 10'1000000001
  8345. transition: 3'100 11'-10-------- -> 3'101 10'1010000001
  8346. transition: 3'100 11'--1-------- -> 3'000 10'0000000001
  8347. transition: 3'010 11'--0-------- -> 3'000 10'0000000100
  8348. transition: 3'010 11'--1-------- -> 3'000 10'0000000100
  8349. transition: 3'110 11'--0-------- -> 3'000 10'0000100000
  8350. transition: 3'110 11'--1-------- -> 3'000 10'0000100000
  8351. transition: 3'001 11'-00-------- -> 3'001 10'0010001000
  8352. transition: 3'001 11'-10-------- -> 3'000 10'0000001000
  8353. transition: 3'001 11'--1-------- -> 3'000 10'0000001000
  8354. transition: 3'101 11'--0--0----- -> 3'101 10'1010010000
  8355. transition: 3'101 11'--0-01----- -> 3'000 10'0000010000
  8356. transition: 3'101 11'--0-11----- -> 3'110 10'1100010000
  8357. transition: 3'101 11'--1-------- -> 3'000 10'0000010000
  8358. transition: 3'011 11'--0---0---- -> 3'011 10'0110000010
  8359. transition: 3'011 11'--0---1---- -> 3'000 10'0000000010
  8360. transition: 3'011 11'--1-------- -> 3'000 10'0000000010
  8361. Extracting FSM `\memctrl_I.state' from module `\top'.
  8362. found $dff cell for state register: $flatten\memctrl_I.$procdff$20660
  8363. root of input selection tree: $flatten\memctrl_I.$0\state[2:0]
  8364. found reset state: 3'000 (guessed from mux tree)
  8365. found ctrl input: \cache_I.rst
  8366. found ctrl input: $flatten\memctrl_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:516$3052_Y
  8367. found ctrl input: $flatten\memctrl_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:765$3156_Y
  8368. found ctrl input: $auto$opt_reduce.cc:134:opt_pmux$21136
  8369. found ctrl input: $flatten\memctrl_I.$procmux$5669_CMP
  8370. found ctrl input: \memctrl_I.ectl_grant
  8371. found ctrl input: \cache_I.mi_ready
  8372. found ctrl input: \memctrl_I.pause_cnt [3]
  8373. found state code: 3'000
  8374. found ctrl input: \memctrl_I.so_valid
  8375. found state code: 3'110
  8376. found ctrl input: $flatten\memctrl_I.$and$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:484$3040_Y
  8377. found state code: 3'101
  8378. found ctrl input: \memctrl_I.so_ld_now
  8379. found state code: 3'100
  8380. found ctrl input: $flatten\memctrl_I.$and$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:480$3039_Y
  8381. found ctrl input: \memctrl_I.mi_valid
  8382. found ctrl input: \memctrl_I.ectl_req
  8383. found state code: 3'001
  8384. found ctrl input: \memctrl_I.mi_rw
  8385. found state code: 3'010
  8386. found state code: 3'011
  8387. found ctrl output: $flatten\memctrl_I.$procmux$5669_CMP
  8388. found ctrl output: $flatten\memctrl_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:765$3156_Y
  8389. found ctrl output: $flatten\memctrl_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:516$3052_Y
  8390. found ctrl output: $flatten\memctrl_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:509$3047_Y
  8391. found ctrl output: $flatten\memctrl_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:509$3046_Y
  8392. found ctrl output: \memctrl_I.ectl_grant
  8393. found ctrl output: \cache_I.mi_ready
  8394. ctrl inputs: { \memctrl_I.mi_rw \memctrl_I.mi_valid \memctrl_I.ectl_req \memctrl_I.pause_cnt [3] \memctrl_I.so_ld_now \memctrl_I.so_valid $flatten\memctrl_I.$and$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:480$3039_Y $flatten\memctrl_I.$and$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:484$3040_Y \cache_I.rst $auto$opt_reduce.cc:134:opt_pmux$21136 }
  8395. ctrl outputs: { \memctrl_I.ectl_grant $flatten\memctrl_I.$0\state[2:0] $flatten\memctrl_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:509$3046_Y $flatten\memctrl_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:509$3047_Y $flatten\memctrl_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:516$3052_Y $flatten\memctrl_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:765$3156_Y $flatten\memctrl_I.$procmux$5669_CMP \cache_I.mi_ready }
  8396. transition: 3'000 10'-00-----0- -> 3'000 10'0000000001
  8397. transition: 3'000 10'-01-----0- -> 3'001 10'0001000001
  8398. transition: 3'000 10'01------0- -> 3'010 10'0010000001
  8399. transition: 3'000 10'11------0- -> 3'011 10'0011000001
  8400. transition: 3'000 10'--------1- -> 3'000 10'0000000001
  8401. transition: 3'100 10'-------00- -> 3'100 10'0100010000
  8402. transition: 3'100 10'-------10- -> 3'101 10'0101010000
  8403. transition: 3'100 10'--------1- -> 3'000 10'0000010000
  8404. transition: 3'010 10'-------00- -> 3'010 10'0010100000
  8405. transition: 3'010 10'-------10- -> 3'101 10'0101100000
  8406. transition: 3'010 10'--------1- -> 3'000 10'0000100000
  8407. transition: 3'110 10'---0----0- -> 3'110 10'0110001000
  8408. transition: 3'110 10'---1----0- -> 3'000 10'0000001000
  8409. transition: 3'110 10'--------1- -> 3'000 10'0000001000
  8410. transition: 3'001 10'------0-0- -> 3'001 10'1001000000
  8411. transition: 3'001 10'------1-0- -> 3'110 10'1110000000
  8412. transition: 3'001 10'--------1- -> 3'000 10'1000000000
  8413. transition: 3'101 10'-----0--0- -> 3'110 10'0110000100
  8414. transition: 3'101 10'-----1--0- -> 3'101 10'0101000100
  8415. transition: 3'101 10'--------1- -> 3'000 10'0000000100
  8416. transition: 3'011 10'----0---0- -> 3'011 10'0011000010
  8417. transition: 3'011 10'----1---0- -> 3'100 10'0100000010
  8418. transition: 3'011 10'--------1- -> 3'000 10'0000000010
  8419. 63.11.3. Executing FSM_OPT pass (simple optimizations of FSMs).
  8420. Optimizing FSM `$fsm$\memctrl_I.state$21146' from module `\top'.
  8421. Removing unused input signal $auto$opt_reduce.cc:134:opt_pmux$21136.
  8422. Optimizing FSM `$fsm$\cache_bus_I.state$21137' from module `\top'.
  8423. Merging pattern 11'--0-------- and 11'--1-------- from group (2 0 10'0000000100).
  8424. Merging pattern 11'--1-------- and 11'--0-------- from group (2 0 10'0000000100).
  8425. Merging pattern 11'--0-------- and 11'--1-------- from group (3 0 10'0000100000).
  8426. Merging pattern 11'--1-------- and 11'--0-------- from group (3 0 10'0000100000).
  8427. Removing unused input signal $auto$opt_reduce.cc:134:opt_pmux$21106.
  8428. 63.11.4. Executing OPT_CLEAN pass (remove unused cells and wires).
  8429. Finding unused cells or wires in module \top..
  8430. Removed 27 unused cells and 27 unused wires.
  8431. <suppressed ~29 debug messages>
  8432. 63.11.5. Executing FSM_OPT pass (simple optimizations of FSMs).
  8433. Optimizing FSM `$fsm$\cache_bus_I.state$21137' from module `\top'.
  8434. Optimizing FSM `$fsm$\memctrl_I.state$21146' from module `\top'.
  8435. Removing unused output signal $flatten\memctrl_I.$0\state[2:0] [0].
  8436. Removing unused output signal $flatten\memctrl_I.$0\state[2:0] [1].
  8437. Removing unused output signal $flatten\memctrl_I.$0\state[2:0] [2].
  8438. 63.11.6. Executing FSM_RECODE pass (re-assigning FSM state encoding).
  8439. Recoding FSM `$fsm$\cache_bus_I.state$21137' from module `\top' using `auto' encoding:
  8440. mapping auto encoding to `one-hot` for this FSM.
  8441. 000 -> ------1
  8442. 100 -> -----1-
  8443. 010 -> ----1--
  8444. 110 -> ---1---
  8445. 001 -> --1----
  8446. 101 -> -1-----
  8447. 011 -> 1------
  8448. Recoding FSM `$fsm$\memctrl_I.state$21146' from module `\top' using `auto' encoding:
  8449. mapping auto encoding to `one-hot` for this FSM.
  8450. 000 -> ------1
  8451. 100 -> -----1-
  8452. 010 -> ----1--
  8453. 110 -> ---1---
  8454. 001 -> --1----
  8455. 101 -> -1-----
  8456. 011 -> 1------
  8457. 63.11.7. Executing FSM_INFO pass (dumping all available information on FSM cells).
  8458. FSM `$fsm$\cache_bus_I.state$21137' from module `top':
  8459. -------------------------------------
  8460. Information on FSM $fsm$\cache_bus_I.state$21137 (\cache_bus_I.state):
  8461. Number of input signals: 10
  8462. Number of output signals: 10
  8463. Number of state bits: 7
  8464. Input signals:
  8465. 0: \cpu_I.IBusCachedPlugin_cache.lineLoader_address [30]
  8466. 1: \cpu_I.dBus_cmd_halfPipe_regs_payload_address [30]
  8467. 2: \cpu_I.dBus_cmd_halfPipe_regs_payload_address [31]
  8468. 3: \cpu_I.dBus_cmd_halfPipe_regs_valid
  8469. 4: \cache_bus_I.wb_ack_i
  8470. 5: \cache_bus_I.ib_addr_last
  8471. 6: \cache_bus_I.ctrl_is_cache
  8472. 7: \cache_bus_I.i_axi_ar_valid
  8473. 8: \cache_I.rst
  8474. 9: \cache_I.resp_ack
  8475. Output signals:
  8476. 0: $flatten\cache_bus_I.$procmux$13219_CMP
  8477. 1: $flatten\cache_bus_I.$procmux$13167_CMP
  8478. 2: $flatten\cache_bus_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:278$3443_Y
  8479. 3: $flatten\cache_bus_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:264$3433_Y
  8480. 4: $flatten\cache_bus_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:230$3426_Y
  8481. 5: $flatten\cache_bus_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:227$3423_Y
  8482. 6: $flatten\cache_bus_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:177$3396_Y
  8483. 7: $flatten\cache_bus_I.$0\state[2:0] [0]
  8484. 8: $flatten\cache_bus_I.$0\state[2:0] [1]
  8485. 9: $flatten\cache_bus_I.$0\state[2:0] [2]
  8486. State encoding:
  8487. 0: 7'------1 <RESET STATE>
  8488. 1: 7'-----1-
  8489. 2: 7'----1--
  8490. 3: 7'---1---
  8491. 4: 7'--1----
  8492. 5: 7'-1-----
  8493. 6: 7'1------
  8494. Transition Table (state_in, ctrl_in, state_out, ctrl_out):
  8495. 0: 0 10'-00---0--- -> 0 10'0001000000
  8496. 1: 0 10'-1-------- -> 0 10'0001000000
  8497. 2: 0 10'-01------1 -> 1 10'1001000000
  8498. 3: 0 10'-00---100- -> 2 10'0101000000
  8499. 4: 0 10'-00---101- -> 4 10'0011000000
  8500. 5: 0 10'-01------0 -> 5 10'1011000000
  8501. 6: 0 10'-00---11-- -> 6 10'0111000000
  8502. 7: 1 10'-1-------- -> 0 10'0000000001
  8503. 8: 1 10'00-------- -> 1 10'1000000001
  8504. 9: 1 10'10-------- -> 5 10'1010000001
  8505. 10: 2 10'---------- -> 0 10'0000000100
  8506. 11: 3 10'---------- -> 0 10'0000100000
  8507. 12: 4 10'10-------- -> 0 10'0000001000
  8508. 13: 4 10'-1-------- -> 0 10'0000001000
  8509. 14: 4 10'00-------- -> 4 10'0010001000
  8510. 15: 5 10'-0-01----- -> 0 10'0000010000
  8511. 16: 5 10'-1-------- -> 0 10'0000010000
  8512. 17: 5 10'-0-11----- -> 3 10'1100010000
  8513. 18: 5 10'-0--0----- -> 5 10'1010010000
  8514. 19: 6 10'-0---1---- -> 0 10'0000000010
  8515. 20: 6 10'-1-------- -> 0 10'0000000010
  8516. 21: 6 10'-0---0---- -> 6 10'0110000010
  8517. -------------------------------------
  8518. FSM `$fsm$\memctrl_I.state$21146' from module `top':
  8519. -------------------------------------
  8520. Information on FSM $fsm$\memctrl_I.state$21146 (\memctrl_I.state):
  8521. Number of input signals: 9
  8522. Number of output signals: 7
  8523. Number of state bits: 7
  8524. Input signals:
  8525. 0: \cache_I.rst
  8526. 1: $flatten\memctrl_I.$and$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:484$3040_Y
  8527. 2: $flatten\memctrl_I.$and$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:480$3039_Y
  8528. 3: \memctrl_I.so_valid
  8529. 4: \memctrl_I.so_ld_now
  8530. 5: \memctrl_I.pause_cnt [3]
  8531. 6: \memctrl_I.ectl_req
  8532. 7: \memctrl_I.mi_valid
  8533. 8: \memctrl_I.mi_rw
  8534. Output signals:
  8535. 0: \cache_I.mi_ready
  8536. 1: $flatten\memctrl_I.$procmux$5669_CMP
  8537. 2: $flatten\memctrl_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:765$3156_Y
  8538. 3: $flatten\memctrl_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:516$3052_Y
  8539. 4: $flatten\memctrl_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:509$3047_Y
  8540. 5: $flatten\memctrl_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:509$3046_Y
  8541. 6: \memctrl_I.ectl_grant
  8542. State encoding:
  8543. 0: 7'------1 <RESET STATE>
  8544. 1: 7'-----1-
  8545. 2: 7'----1--
  8546. 3: 7'---1---
  8547. 4: 7'--1----
  8548. 5: 7'-1-----
  8549. 6: 7'1------
  8550. Transition Table (state_in, ctrl_in, state_out, ctrl_out):
  8551. 0: 0 9'-00-----0 -> 0 7'0000001
  8552. 1: 0 9'--------1 -> 0 7'0000001
  8553. 2: 0 9'01------0 -> 2 7'0000001
  8554. 3: 0 9'-01-----0 -> 4 7'0000001
  8555. 4: 0 9'11------0 -> 6 7'0000001
  8556. 5: 1 9'--------1 -> 0 7'0010000
  8557. 6: 1 9'-------00 -> 1 7'0010000
  8558. 7: 1 9'-------10 -> 5 7'0010000
  8559. 8: 2 9'--------1 -> 0 7'0100000
  8560. 9: 2 9'-------00 -> 2 7'0100000
  8561. 10: 2 9'-------10 -> 5 7'0100000
  8562. 11: 3 9'---1----0 -> 0 7'0001000
  8563. 12: 3 9'--------1 -> 0 7'0001000
  8564. 13: 3 9'---0----0 -> 3 7'0001000
  8565. 14: 4 9'--------1 -> 0 7'1000000
  8566. 15: 4 9'------1-0 -> 3 7'1000000
  8567. 16: 4 9'------0-0 -> 4 7'1000000
  8568. 17: 5 9'--------1 -> 0 7'0000100
  8569. 18: 5 9'-----0--0 -> 3 7'0000100
  8570. 19: 5 9'-----1--0 -> 5 7'0000100
  8571. 20: 6 9'--------1 -> 0 7'0000010
  8572. 21: 6 9'----1---0 -> 1 7'0000010
  8573. 22: 6 9'----0---0 -> 6 7'0000010
  8574. -------------------------------------
  8575. 63.11.8. Executing FSM_MAP pass (mapping FSMs to basic logic).
  8576. Mapping FSM `$fsm$\cache_bus_I.state$21137' from module `\top'.
  8577. Mapping FSM `$fsm$\memctrl_I.state$21146' from module `\top'.
  8578. 63.12. Executing OPT pass (performing simple optimizations).
  8579. 63.12.1. Executing OPT_EXPR pass (perform const folding).
  8580. Optimizing module top.
  8581. <suppressed ~20 debug messages>
  8582. 63.12.2. Executing OPT_MERGE pass (detect identical cells).
  8583. Finding identical cells in module `\top'.
  8584. <suppressed ~111 debug messages>
  8585. Removed a total of 37 cells.
  8586. 63.12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
  8587. Running muxtree optimizer on module \top..
  8588. Creating internal representation of mux trees.
  8589. Evaluating internal representation of mux trees.
  8590. Analyzing evaluation results.
  8591. Removed 0 multiplexer ports.
  8592. <suppressed ~366 debug messages>
  8593. 63.12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  8594. Optimizing cells in module \top.
  8595. Performed a total of 0 changes.
  8596. 63.12.5. Executing OPT_MERGE pass (detect identical cells).
  8597. Finding identical cells in module `\top'.
  8598. Removed a total of 0 cells.
  8599. 63.12.6. Executing OPT_DFF pass (perform DFF optimizations).
  8600. Adding EN signal on $flatten\vid_I.\tgen_I.$procdff$20597 ($adff) from module top (D = \vid_I.tgen_I.v_last, Q = \vid_I.tgen_I.v_first).
  8601. Adding EN signal on $flatten\vid_I.\tgen_I.$procdff$20596 ($adff) from module top (D = $flatten\vid_I.\tgen_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:145$3943_Y, Q = \vid_I.tgen_I.v_zone).
  8602. Adding SRST signal on $flatten\vid_I.$procdff$20888 ($dff) from module top (D = $flatten\vid_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:182$1627_Y, Q = \vid_I.vs_frame_cnt, rval = 16'0000000000000000).
  8603. Adding EN signal on $flatten\vid_I.$procdff$20887 ($dff) from module top (D = $flatten\vid_I.$procmux$7221_Y, Q = \vid_I.pp_yscale_state).
  8604. Adding SRST signal on $auto$ff.cc:266:slice$21375 ($dffe) from module top (D = $flatten\vid_I.$auto$proc_rom.cc:154:do_switch$4697 [3:0], Q = \vid_I.pp_yscale_state, rval = 4'0000).
  8605. Adding EN signal on $flatten\vid_I.$procdff$20886 ($dff) from module top (D = $flatten\vid_I.$procmux$7215_Y, Q = \vid_I.pp_ydbl_1).
  8606. Adding SRST signal on $auto$ff.cc:266:slice$21377 ($dffe) from module top (D = $flatten\vid_I.$auto$proc_rom.cc:154:do_switch$4697 [4], Q = \vid_I.pp_ydbl_1, rval = 1'0).
  8607. Adding EN signal on $flatten\vid_I.$procdff$20883 ($dff) from module top (D = $flatten\vid_I.$procmux$7209_Y, Q = \vid_I.pp_addr_base_1).
  8608. Adding SRST signal on $auto$ff.cc:266:slice$21379 ($dffe) from module top (D = $flatten\vid_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:236$1635_Y, Q = \vid_I.pp_addr_base_1, rval = 16'0000000000000000).
  8609. Adding EN signal on $flatten\vid_I.$procdff$20880 ($dff) from module top (D = $flatten\vid_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:255$1645_Y, Q = \vid_I.pp_data_3).
  8610. Adding SRST signal on $auto$ff.cc:266:slice$21381 ($dffe) from module top (D = \vid_I.fb_I.ram_rdata [31:24], Q = \vid_I.pp_data_3 [31:24], rval = 8'00000000).
  8611. Adding EN signal on $flatten\uart_I.\uart_tx_fifo_I.\ram_I.$procdff$20580 ($dff) from module top (D = $flatten\uart_I.\uart_tx_fifo_I.\ram_I.$memrd$\ram$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v:43$4404_DATA, Q = \uart_I.uart_tx_fifo_I.ram_I.rd_data).
  8612. Adding EN signal on $flatten\uart_I.\uart_tx_fifo_I.$procdff$20586 ($adff) from module top (D = $flatten\uart_I.\uart_tx_fifo_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:99$3975_Y [8:0], Q = \uart_I.uart_tx_fifo_I.ram_wr_addr).
  8613. Adding EN signal on $flatten\uart_I.\uart_tx_fifo_I.$procdff$20585 ($adff) from module top (D = $flatten\uart_I.\uart_tx_fifo_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:112$3977_Y [8:0], Q = \uart_I.uart_tx_fifo_I.ram_rd_addr).
  8614. Adding EN signal on $flatten\uart_I.\uart_tx_fifo_I.$procdff$20584 ($adff) from module top (D = $flatten\uart_I.\uart_tx_fifo_I.$not$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:114$3980_Y, Q = \uart_I.uart_tx_fifo_I.rd_valid).
  8615. Adding SRST signal on $flatten\uart_I.\uart_tx_I.$procdff$20573 ($dff) from module top (D = $flatten\uart_I.\uart_tx_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:46$4436_Y [12], Q = \uart_I.uart_tx_I.div_cnt [12], rval = 1'0).
  8616. Adding SRST signal on $flatten\uart_I.\uart_tx_I.$procdff$20572 ($dff) from module top (D = $flatten\uart_I.\uart_tx_I.$procmux$5030_Y, Q = \uart_I.uart_tx_I.bit_cnt, rval = 5'01000).
  8617. Adding EN signal on $auto$ff.cc:266:slice$21390 ($sdff) from module top (D = $flatten\uart_I.\uart_tx_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:55$4439_Y [4:0], Q = \uart_I.uart_tx_I.bit_cnt).
  8618. Adding EN signal on $flatten\uart_I.\uart_tx_I.$procdff$20571 ($adff) from module top (D = $flatten\uart_I.\uart_tx_I.$0\shift[9:0], Q = \uart_I.uart_tx_I.shift).
  8619. Adding EN signal on $flatten\uart_I.\uart_rx_fifo_I.\ram_I.$procdff$20580 ($dff) from module top (D = $flatten\uart_I.\uart_rx_fifo_I.\ram_I.$memrd$\ram$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/ram_sdp.v:43$4404_DATA, Q = \uart_I.uart_rx_fifo_I.ram_I.rd_data).
  8620. Adding EN signal on $flatten\uart_I.\uart_rx_fifo_I.$procdff$20586 ($adff) from module top (D = $flatten\uart_I.\uart_rx_fifo_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:99$3975_Y [8:0], Q = \uart_I.uart_rx_fifo_I.ram_wr_addr).
  8621. Adding EN signal on $flatten\uart_I.\uart_rx_fifo_I.$procdff$20585 ($adff) from module top (D = $flatten\uart_I.\uart_rx_fifo_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:112$3977_Y [8:0], Q = \uart_I.uart_rx_fifo_I.ram_rd_addr).
  8622. Adding EN signal on $flatten\uart_I.\uart_rx_fifo_I.$procdff$20584 ($adff) from module top (D = $flatten\uart_I.\uart_rx_fifo_I.$not$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:114$3980_Y, Q = \uart_I.uart_rx_fifo_I.rd_valid).
  8623. Adding SRST signal on $flatten\uart_I.\uart_rx_I.\genblk1.gf_I.$procdff$20611 ($dff) from module top (D = $flatten\uart_I.\uart_rx_I.\genblk1.gf_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/glitch_filter.v:74$3502_Y, Q = \uart_I.uart_rx_I.genblk1.gf_I.cnt, rval = 2'11).
  8624. Adding SRST signal on $flatten\uart_I.\uart_rx_I.\genblk1.gf_I.$procdff$20610 ($dff) from module top (D = $flatten\uart_I.\uart_rx_I.\genblk1.gf_I.$procmux$5112_Y, Q = \uart_I.uart_rx_I.genblk1.gf_I.state, rval = 1'1).
  8625. Adding EN signal on $auto$ff.cc:266:slice$21400 ($sdff) from module top (D = $flatten\uart_I.\uart_rx_I.\genblk1.gf_I.$procmux$5112_Y, Q = \uart_I.uart_rx_I.genblk1.gf_I.state).
  8626. Adding SRST signal on $flatten\uart_I.\uart_rx_I.$procdff$20577 ($dff) from module top (D = $flatten\uart_I.\uart_rx_I.$procmux$5040_Y, Q = \uart_I.uart_rx_I.bit_cnt, rval = 5'01000).
  8627. Adding EN signal on $auto$ff.cc:266:slice$21404 ($sdff) from module top (D = $flatten\uart_I.\uart_rx_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:92$4421_Y [4:0], Q = \uart_I.uart_rx_I.bit_cnt).
  8628. Adding EN signal on $flatten\uart_I.\uart_rx_I.$procdff$20576 ($dff) from module top (D = { \uart_I.uart_rx_I.genblk1.gf_I.state \uart_I.uart_rx_I.shift [8:1] }, Q = \uart_I.uart_rx_I.shift).
  8629. Adding SRST signal on $flatten\uart_I.$procdff$20676 ($dff) from module top (D = $flatten\uart_I.$and$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:174$2960_Y, Q = \uart_I.ub_wr_div, rval = 1'0).
  8630. Adding SRST signal on $flatten\uart_I.$procdff$20675 ($dff) from module top (D = $flatten\uart_I.$and$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:173$2957_Y, Q = \uart_I.ub_wr_data, rval = 1'0).
  8631. Adding SRST signal on $flatten\uart_I.$procdff$20674 ($dff) from module top (D = $flatten\uart_I.$and$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:172$2952_Y, Q = \uart_I.ub_rd_ctrl, rval = 1'0).
  8632. Adding SRST signal on $flatten\uart_I.$procdff$20673 ($dff) from module top (D = $flatten\uart_I.$and$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:171$2948_Y, Q = \uart_I.ub_rd_data, rval = 1'0).
  8633. Adding SRST signal on $flatten\uart_I.$procdff$20672 ($dff) from module top (D = $flatten\uart_I.$and$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:181$2967_Y, Q = \uart_I.ub_ack, rval = 1'0).
  8634. Adding SRST signal on $flatten\uart_I.$procdff$20671 ($dff) from module top (D = { \uart_I.urf_overflow \uart_I.utf_empty \uart_I.uart_tx_fifo_I.full \uart_I.uart_div [11:8] }, Q = { \uart_I.ub_rdata [30:28] \uart_I.ub_rdata [11:8] }, rval = 7'0000000).
  8635. Adding SRST signal on $flatten\uart_I.$procdff$20671 ($dff) from module top (D = { $flatten\uart_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:189$2972_Y [31] $flatten\uart_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:189$2972_Y [27:12] $flatten\uart_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:189$2972_Y [7:0] }, Q = { \uart_I.ub_rdata [31] \uart_I.ub_rdata [27:12] \uart_I.ub_rdata [7:0] }, rval = 25'0000000000000000000000000).
  8636. Adding EN signal on $flatten\uart_I.$procdff$20670 ($dff) from module top (D = \cpu_I.dBus_cmd_halfPipe_regs_payload_data [11:0], Q = \uart_I.uart_div).
  8637. Adding EN signal on $flatten\rgb_I.$procdff$20678 ($adff) from module top (D = \cpu_I.dBus_cmd_halfPipe_regs_payload_data [4:0], Q = \rgb_I.led_ctrl).
  8638. Adding EN signal on $flatten\memctrl_I.\genblk1.rsp_fifo_I.$procdff$20606 ($adff) from module top (D = \memctrl_I.si_data_n, Q = \memctrl_I.genblk1.rsp_fifo_I.stage[1].l_data).
  8639. Adding EN signal on $flatten\memctrl_I.\genblk1.rsp_fifo_I.$procdff$20605 ($adff) from module top (D = $flatten\memctrl_I.\genblk1.rsp_fifo_I.$or$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v:68$3875_Y, Q = \memctrl_I.genblk1.rsp_fifo_I.stage[1].l_valid).
  8640. Adding EN signal on $flatten\memctrl_I.\genblk1.cmd_fifo_I.$procdff$20604 ($adff) from module top (D = { \cpu_I.dBus_cmd_halfPipe_regs_payload_address [5:2] \cpu_I.dBus_cmd_halfPipe_regs_payload_data }, Q = \memctrl_I.genblk1.cmd_fifo_I.stage[1].l_data).
  8641. Adding EN signal on $flatten\memctrl_I.\genblk1.cmd_fifo_I.$procdff$20603 ($adff) from module top (D = $flatten\memctrl_I.\genblk1.cmd_fifo_I.$or$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_shift.v:68$3888_Y, Q = \memctrl_I.genblk1.cmd_fifo_I.stage[1].l_valid).
  8642. Adding SRST signal on $flatten\memctrl_I.$procdff$20668 ($dff) from module top (D = $flatten\cache_bus_I.$and$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:301$3453_Y, Q = \memctrl_I.wb_ack, rval = 1'0).
  8643. Adding SRST signal on $flatten\memctrl_I.$procdff$20667 ($dff) from module top (D = $flatten\memctrl_I.$procmux$5809_Y, Q = \memctrl_I.ectl_req, rval = 1'0).
  8644. Adding EN signal on $auto$ff.cc:266:slice$21427 ($sdff) from module top (D = $flatten\memctrl_I.$or$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:335$3004_Y, Q = \memctrl_I.ectl_req).
  8645. Adding EN signal on $flatten\memctrl_I.$procdff$20666 ($dff) from module top (D = \cpu_I.dBus_cmd_halfPipe_regs_payload_data [5:4], Q = \memctrl_I.ectl_cs).
  8646. Adding SRST signal on $flatten\memctrl_I.$procdff$20662 ($dff) from module top (D = { \memctrl_I.genblk1.rsp_fifo_I.stage[1].l_data [31:16] \memctrl_I.genblk1.rsp_fifo_I.stage[1].l_data [12] \memctrl_I.genblk1.rsp_fifo_I.stage[1].l_data [9:6] \memctrl_I.genblk1.rsp_fifo_I.stage[1].l_data [3] }, Q = { \memctrl_I.wb_rdata [31:16] \memctrl_I.wb_rdata [12] \memctrl_I.wb_rdata [9:6] \memctrl_I.wb_rdata [3] }, rval = 22'0000000000000000000000).
  8647. Adding SRST signal on $flatten\memctrl_I.$procdff$20662 ($dff) from module top (D = { $flatten\memctrl_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:377$3026_Y [15:13] $flatten\memctrl_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:377$3026_Y [11:10] $flatten\memctrl_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:377$3026_Y [5:4] $flatten\memctrl_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:377$3026_Y [2:0] }, Q = { \memctrl_I.wb_rdata [15:13] \memctrl_I.wb_rdata [11:10] \memctrl_I.wb_rdata [5:4] \memctrl_I.wb_rdata [2:0] }, rval = 10'0000000000).
  8648. Adding SRST signal on $flatten\memctrl_I.$procdff$20659 ($dff) from module top (D = $flatten\memctrl_I.$procmux$5709_Y, Q = \memctrl_I.xfer_cnt, rval = 8'00000110).
  8649. Adding EN signal on $auto$ff.cc:266:slice$21436 ($sdff) from module top (D = $flatten\memctrl_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:510$3050_Y [7:0], Q = \memctrl_I.xfer_cnt).
  8650. Adding SRST signal on $flatten\memctrl_I.$procdff$20658 ($dff) from module top (D = $flatten\memctrl_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:517$3053_Y [3:0], Q = \memctrl_I.pause_cnt, rval = 4'0110).
  8651. Adding SRST signal on $flatten\memctrl_I.$procdff$20657 ($dff) from module top (D = $flatten\memctrl_I.$or$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:681$3081_Y, Q = \memctrl_I.so_valid, rval = 1'0).
  8652. Adding EN signal on $flatten\memctrl_I.$procdff$20656 ($dff) from module top (D = \memctrl_I.so_ld_dst, Q = \memctrl_I.so_dst).
  8653. Adding EN signal on $flatten\memctrl_I.$procdff$20655 ($dff) from module top (D = \memctrl_I.so_ld_mode, Q = \memctrl_I.so_mode).
  8654. Adding SRST signal on $flatten\memctrl_I.$procdff$20644 ($dff) from module top (D = $flatten\memctrl_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:765$3157_Y, Q = \memctrl_I.si_dst_1, rval = 2'00).
  8655. Adding SRST signal on $flatten\memctrl_I.$procdff$20629 ($dff) from module top (D = $flatten\memctrl_I.$2$lookahead\phy_cs_o$3180[1:0]$3190, Q = \memctrl_I.phy_cs_o, rval = 2'11).
  8656. Adding EN signal on $auto$ff.cc:266:slice$21443 ($sdff) from module top (D = $flatten\memctrl_I.$2$lookahead\phy_cs_o$3180[1:0]$3190, Q = \memctrl_I.phy_cs_o).
  8657. Adding EN signal on $flatten\cpu_I.\IBusCachedPlugin_cache.$procdff$20875 ($dff) from module top (D = $flatten\cpu_I.\IBusCachedPlugin_cache.$memrd$\ways_0_tags$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:163$1733_DATA, Q = \cpu_I.IBusCachedPlugin_cache._zz_10_).
  8658. Adding EN signal on $flatten\cpu_I.\IBusCachedPlugin_cache.$procdff$20871 ($dff) from module top (D = $flatten\cpu_I.\IBusCachedPlugin_cache.$memrd$\ways_0_datas$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:175$1742_DATA, Q = \cpu_I.IBusCachedPlugin_cache._zz_11_).
  8659. Adding EN signal on $flatten\cpu_I.\IBusCachedPlugin_cache.$procdff$20870 ($adff) from module top (D = $flatten\cpu_I.\IBusCachedPlugin_cache.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:294$1775_Y, Q = \cpu_I.IBusCachedPlugin_cache.lineLoader_wordIndex).
  8660. Adding EN signal on $flatten\cpu_I.\IBusCachedPlugin_cache.$procdff$20869 ($adff) from module top (D = $flatten\cpu_I.\IBusCachedPlugin_cache.$0\lineLoader_cmdSent[0:0], Q = \cpu_I.IBusCachedPlugin_cache.lineLoader_cmdSent).
  8661. Adding EN signal on $flatten\cpu_I.\IBusCachedPlugin_cache.$procdff$20868 ($adff) from module top (D = $flatten\cpu_I.\IBusCachedPlugin_cache.$0\lineLoader_flushPending[0:0], Q = \cpu_I.IBusCachedPlugin_cache.lineLoader_flushPending).
  8662. Adding EN signal on $flatten\cpu_I.\IBusCachedPlugin_cache.$procdff$20867 ($adff) from module top (D = 1'0, Q = \cpu_I.IBusCachedPlugin_cache.lineLoader_hadError).
  8663. Adding EN signal on $flatten\cpu_I.\IBusCachedPlugin_cache.$procdff$20866 ($adff) from module top (D = $flatten\cpu_I.\IBusCachedPlugin_cache.$0\lineLoader_valid[0:0], Q = \cpu_I.IBusCachedPlugin_cache.lineLoader_valid).
  8664. Adding EN signal on $flatten\cpu_I.\IBusCachedPlugin_cache.$procdff$20864 ($dff) from module top (D = \cpu_I.IBusCachedPlugin_cache.fetchStage_hit_hits_0, Q = \cpu_I.IBusCachedPlugin_cache.decodeStage_hit_valid).
  8665. Adding EN signal on $flatten\cpu_I.\IBusCachedPlugin_cache.$procdff$20863 ($dff) from module top (D = 1'0, Q = \cpu_I.IBusCachedPlugin_cache.decodeStage_mmuRsp_refilling).
  8666. Adding EN signal on $flatten\cpu_I.\IBusCachedPlugin_cache.$procdff$20857 ($dff) from module top (D = \cpu_I.IBusCachedPlugin_fetchPc_pcReg, Q = \cpu_I.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress).
  8667. Adding EN signal on $flatten\cpu_I.\IBusCachedPlugin_cache.$procdff$20856 ($dff) from module top (D = \cpu_I.IBusCachedPlugin_cache._zz_11_, Q = \cpu_I.IBusCachedPlugin_cache.io_cpu_fetch_data_regNextWhen).
  8668. Adding SRST signal on $flatten\cpu_I.\IBusCachedPlugin_cache.$procdff$20854 ($dff) from module top (D = $flatten\cpu_I.\IBusCachedPlugin_cache.$procmux$7125_Y, Q = \cpu_I.IBusCachedPlugin_cache.lineLoader_flushCounter, rval = 7'0000000).
  8669. Adding EN signal on $auto$ff.cc:266:slice$21468 ($sdff) from module top (D = $flatten\cpu_I.\IBusCachedPlugin_cache.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:307$1777_Y [5:0], Q = \cpu_I.IBusCachedPlugin_cache.lineLoader_flushCounter [5:0]).
  8670. Adding EN signal on $flatten\cpu_I.\IBusCachedPlugin_cache.$procdff$20853 ($dff) from module top (D = \cpu_I.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress, Q = \cpu_I.IBusCachedPlugin_cache.lineLoader_address).
  8671. Adding EN signal on $flatten\cpu_I.$procdff$20843 ($adff) from module top (D = \cpu_I.execute_CsrPlugin_writeData, Q = \cpu_I._zz_146_).
  8672. Adding EN signal on $flatten\cpu_I.$procdff$20839 ($adff) from module top (D = $flatten\cpu_I.$0\CsrPlugin_pipelineLiberator_pcValids_2[0:0], Q = \cpu_I.CsrPlugin_pipelineLiberator_pcValids_2).
  8673. Adding EN signal on $flatten\cpu_I.$procdff$20838 ($adff) from module top (D = $flatten\cpu_I.$0\CsrPlugin_pipelineLiberator_pcValids_1[0:0], Q = \cpu_I.CsrPlugin_pipelineLiberator_pcValids_1).
  8674. Adding EN signal on $flatten\cpu_I.$procdff$20837 ($adff) from module top (D = $flatten\cpu_I.$0\CsrPlugin_pipelineLiberator_pcValids_0[0:0], Q = \cpu_I.CsrPlugin_pipelineLiberator_pcValids_0).
  8675. Adding EN signal on $flatten\cpu_I.$procdff$20832 ($adff) from module top (D = \cpu_I.execute_CsrPlugin_writeData [3], Q = \cpu_I.CsrPlugin_mie_MSIE).
  8676. Adding EN signal on $flatten\cpu_I.$procdff$20831 ($adff) from module top (D = \cpu_I.execute_CsrPlugin_writeData [7], Q = \cpu_I.CsrPlugin_mie_MTIE).
  8677. Adding EN signal on $flatten\cpu_I.$procdff$20830 ($adff) from module top (D = \cpu_I.execute_CsrPlugin_writeData [11], Q = \cpu_I.CsrPlugin_mie_MEIE).
  8678. Adding EN signal on $flatten\cpu_I.$procdff$20824 ($adff) from module top (D = \cpu_I.execute_CsrPlugin_writeData [2], Q = \cpu_I.RegFilePlugin_shadow_clear).
  8679. Adding EN signal on $flatten\cpu_I.$procdff$20815 ($adff) from module top (D = $flatten\cpu_I.$0\_zz_67_[0:0], Q = \cpu_I._zz_67_).
  8680. Adding EN signal on $flatten\cpu_I.$procdff$20814 ($adff) from module top (D = $flatten\cpu_I.$0\_zz_65_[0:0], Q = \cpu_I._zz_65_).
  8681. Adding EN signal on $flatten\cpu_I.$procdff$20813 ($adff) from module top (D = $flatten\cpu_I.$0\IBusCachedPlugin_fetchPc_inc[0:0], Q = \cpu_I.IBusCachedPlugin_fetchPc_inc).
  8682. Adding EN signal on $flatten\cpu_I.$procdff$20810 ($adff) from module top (D = { \cpu_I.IBusCachedPlugin_fetchPc_pc [31:11] \cpu_I.IBusCachedPlugin_cache._zz_5_ \cpu_I.IBusCachedPlugin_cache._zz_8_ [2:0] 2'00 }, Q = \cpu_I.IBusCachedPlugin_fetchPc_pcReg).
  8683. Adding EN signal on $flatten\cpu_I.$procdff$20808 ($adff) from module top (D = $flatten\cpu_I.$0\memory_arbitration_isValid[0:0], Q = \cpu_I.memory_arbitration_isValid).
  8684. Adding EN signal on $flatten\cpu_I.$procdff$20807 ($adff) from module top (D = $flatten\cpu_I.$0\execute_arbitration_isValid[0:0], Q = \cpu_I.execute_arbitration_isValid).
  8685. Adding EN signal on $flatten\cpu_I.$procdff$20806 ($dff) from module top (D = \cpu_I.decode_to_execute_INSTRUCTION [13:12], Q = \cpu_I.dBus_cmd_halfPipe_regs_payload_size).
  8686. Adding EN signal on $flatten\cpu_I.$procdff$20805 ($dff) from module top (D = \cpu_I._zz_82_, Q = \cpu_I.dBus_cmd_halfPipe_regs_payload_data).
  8687. Adding EN signal on $flatten\cpu_I.$procdff$20804 ($dff) from module top (D = \cpu_I.execute_SrcPlugin_addSub, Q = \cpu_I.dBus_cmd_halfPipe_regs_payload_address).
  8688. Adding EN signal on $flatten\cpu_I.$procdff$20803 ($dff) from module top (D = \cpu_I.decode_to_execute_MEMORY_STORE, Q = \cpu_I.dBus_cmd_halfPipe_regs_payload_wr).
  8689. Adding EN signal on $flatten\cpu_I.$procdff$20801 ($dff) from module top (D = $flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4874$2547_Y, Q = \cpu_I.execute_CsrPlugin_csr_3008).
  8690. Adding EN signal on $flatten\cpu_I.$procdff$20800 ($dff) from module top (D = $flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4871$2545_Y, Q = \cpu_I.execute_CsrPlugin_csr_835).
  8691. Adding EN signal on $flatten\cpu_I.$procdff$20799 ($dff) from module top (D = $flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4868$2543_Y, Q = \cpu_I.execute_CsrPlugin_csr_834).
  8692. Adding EN signal on $flatten\cpu_I.$procdff$20798 ($dff) from module top (D = $flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4865$2541_Y, Q = \cpu_I.execute_CsrPlugin_csr_833).
  8693. Adding EN signal on $flatten\cpu_I.$procdff$20797 ($dff) from module top (D = $flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4862$2539_Y, Q = \cpu_I.execute_CsrPlugin_csr_773).
  8694. Adding EN signal on $flatten\cpu_I.$procdff$20796 ($dff) from module top (D = $flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4859$2537_Y, Q = \cpu_I.execute_CsrPlugin_csr_772).
  8695. Adding EN signal on $flatten\cpu_I.$procdff$20795 ($dff) from module top (D = $flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4856$2535_Y, Q = \cpu_I.execute_CsrPlugin_csr_836).
  8696. Adding EN signal on $flatten\cpu_I.$procdff$20794 ($dff) from module top (D = $flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4853$2533_Y, Q = \cpu_I.execute_CsrPlugin_csr_768).
  8697. Adding EN signal on $flatten\cpu_I.$procdff$20793 ($dff) from module top (D = $flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4850$2531_Y, Q = \cpu_I.execute_CsrPlugin_csr_1984).
  8698. Adding EN signal on $flatten\cpu_I.$procdff$20791 ($dff) from module top (D = \cpu_I.execute_MUL_LH, Q = \cpu_I.execute_to_memory_MUL_LH).
  8699. Adding EN signal on $flatten\cpu_I.$procdff$20790 ($dff) from module top (D = \cpu_I._zz_1_, Q = \cpu_I.decode_to_execute_SRC2_CTRL).
  8700. Adding EN signal on $flatten\cpu_I.$procdff$20789 ($dff) from module top (D = \cpu_I._zz_198_, Q = \cpu_I.decode_to_execute_IS_RS2_SIGNED).
  8701. Adding EN signal on $flatten\cpu_I.$procdff$20788 ($dff) from module top (D = \cpu_I._zz_198_, Q = \cpu_I.decode_to_execute_IS_RS1_SIGNED).
  8702. Adding EN signal on $flatten\cpu_I.$procdff$20786 ($dff) from module top (D = \cpu_I.execute_SrcPlugin_addSub [1:0], Q = \cpu_I.execute_to_memory_MEMORY_ADDRESS_LOW).
  8703. Adding EN signal on $flatten\cpu_I.$procdff$20785 ($dff) from module top (D = { \cpu_I._zz_302_ [24] \cpu_I._zz_313_ }, Q = \cpu_I.decode_to_execute_SRC1_CTRL).
  8704. Adding EN signal on $flatten\cpu_I.$procdff$20784 ($dff) from module top (D = \cpu_I.decode_RS2, Q = \cpu_I.decode_to_execute_RS2).
  8705. Adding EN signal on $flatten\cpu_I.$procdff$20783 ($dff) from module top (D = 1'0, Q = \cpu_I.execute_to_memory_MMU_FAULT).
  8706. Adding EN signal on $flatten\cpu_I.$procdff$20782 ($dff) from module top (D = \cpu_I.execute_BRANCH_DO, Q = \cpu_I.execute_to_memory_BRANCH_DO).
  8707. Adding EN signal on $flatten\cpu_I.$procdff$20780 ($dff) from module top (D = \cpu_I._zz_209_, Q = \cpu_I.decode_to_execute_BYPASSABLE_EXECUTE_STAGE).
  8708. Adding EN signal on $flatten\cpu_I.$procdff$20778 ($dff) from module top (D = \cpu_I.decode_to_execute_ENV_CTRL, Q = \cpu_I.execute_to_memory_ENV_CTRL).
  8709. Adding EN signal on $flatten\cpu_I.$procdff$20777 ($dff) from module top (D = { \cpu_I._zz_389_ \cpu_I._zz_391_ }, Q = \cpu_I.decode_to_execute_ENV_CTRL).
  8710. Adding EN signal on $flatten\cpu_I.$procdff$20776 ($dff) from module top (D = { \cpu_I.execute_BranchPlugin_branchAdder [31:1] 1'0 }, Q = \cpu_I.execute_to_memory_BRANCH_CALC).
  8711. Adding EN signal on $flatten\cpu_I.$procdff$20775 ($dff) from module top (D = \cpu_I._zz_210_, Q = \cpu_I.decode_to_execute_IS_CSR).
  8712. Adding EN signal on $flatten\cpu_I.$procdff$20774 ($dff) from module top (D = \cpu_I._zz_31_, Q = \cpu_I.execute_to_memory_REGFILE_WRITE_DATA).
  8713. Adding EN signal on $flatten\cpu_I.$procdff$20773 ($dff) from module top (D = \cpu_I.decode_to_execute_BYPASSABLE_MEMORY_STAGE, Q = \cpu_I.execute_to_memory_BYPASSABLE_MEMORY_STAGE).
  8714. Adding EN signal on $flatten\cpu_I.$procdff$20772 ($dff) from module top (D = \cpu_I._zz_211_, Q = \cpu_I.decode_to_execute_BYPASSABLE_MEMORY_STAGE).
  8715. Adding EN signal on $flatten\cpu_I.$procdff$20771 ($dff) from module top (D = { \cpu_I._zz_408_ \cpu_I._zz_372_ }, Q = \cpu_I.decode_to_execute_ALU_CTRL).
  8716. Adding EN signal on $flatten\cpu_I.$procdff$20770 ($dff) from module top (D = \cpu_I._zz_212_, Q = \cpu_I.decode_to_execute_SRC_LESS_UNSIGNED).
  8717. Adding EN signal on $flatten\cpu_I.$procdff$20769 ($dff) from module top (D = \cpu_I.decode_CSR_WRITE_OPCODE, Q = \cpu_I.decode_to_execute_CSR_WRITE_OPCODE).
  8718. Adding EN signal on $flatten\cpu_I.$procdff$20768 ($dff) from module top (D = \cpu_I.execute_to_memory_PC, Q = \cpu_I.memory_to_writeBack_PC).
  8719. Adding EN signal on $flatten\cpu_I.$procdff$20767 ($dff) from module top (D = \cpu_I.decode_to_execute_PC, Q = \cpu_I.execute_to_memory_PC).
  8720. Adding EN signal on $flatten\cpu_I.$procdff$20766 ($dff) from module top (D = \cpu_I._zz_68_, Q = \cpu_I.decode_to_execute_PC).
  8721. Adding EN signal on $flatten\cpu_I.$procdff$20764 ($dff) from module top (D = \cpu_I.decode_to_execute_REGFILE_WRITE_VALID, Q = \cpu_I.execute_to_memory_REGFILE_WRITE_VALID).
  8722. Adding EN signal on $flatten\cpu_I.$procdff$20763 ($dff) from module top (D = \cpu_I.decode_REGFILE_WRITE_VALID, Q = \cpu_I.decode_to_execute_REGFILE_WRITE_VALID).
  8723. Adding SRST signal on $auto$ff.cc:266:slice$21557 ($dffe) from module top (D = \cpu_I._zz_223_, Q = \cpu_I.decode_to_execute_REGFILE_WRITE_VALID, rval = 1'0).
  8724. Adding EN signal on $flatten\cpu_I.$procdff$20762 ($dff) from module top (D = \cpu_I.execute_MUL_HL, Q = \cpu_I.execute_to_memory_MUL_HL).
  8725. Adding EN signal on $flatten\cpu_I.$procdff$20761 ($dff) from module top (D = \cpu_I.decode_to_execute_IS_DIV, Q = \cpu_I.execute_to_memory_IS_DIV).
  8726. Adding EN signal on $flatten\cpu_I.$procdff$20760 ($dff) from module top (D = \cpu_I._zz_213_, Q = \cpu_I.decode_to_execute_IS_DIV).
  8727. Adding EN signal on $flatten\cpu_I.$procdff$20759 ($dff) from module top (D = { \cpu_I.IBusCachedPlugin_cache.io_cpu_fetch_data_regNextWhen [12] \cpu_I._zz_407_ }, Q = \cpu_I.decode_to_execute_ALU_BITWISE_CTRL).
  8728. Adding EN signal on $flatten\cpu_I.$procdff$20758 ($dff) from module top (D = \cpu_I.decode_to_execute_SHIFT_CTRL, Q = \cpu_I.execute_to_memory_SHIFT_CTRL).
  8729. Adding EN signal on $flatten\cpu_I.$procdff$20757 ($dff) from module top (D = { \cpu_I._zz_415_ \cpu_I._zz_416_ }, Q = \cpu_I.decode_to_execute_SHIFT_CTRL).
  8730. Adding EN signal on $flatten\cpu_I.$procdff$20753 ($dff) from module top (D = \cpu_I._zz_214_ [31:0], Q = \cpu_I.execute_to_memory_SHIFT_RIGHT).
  8731. Adding EN signal on $flatten\cpu_I.$procdff$20752 ($dff) from module top (D = \cpu_I.decode_to_execute_INSTRUCTION, Q = \cpu_I.execute_to_memory_INSTRUCTION).
  8732. Adding EN signal on $flatten\cpu_I.$procdff$20751 ($dff) from module top (D = \cpu_I.IBusCachedPlugin_cache.io_cpu_fetch_data_regNextWhen, Q = \cpu_I.decode_to_execute_INSTRUCTION).
  8733. Adding EN signal on $flatten\cpu_I.$procdff$20750 ($dff) from module top (D = { \cpu_I.decode_BRANCH_CTRL [1] \cpu_I._zz_300_ }, Q = \cpu_I.decode_to_execute_BRANCH_CTRL).
  8734. Adding EN signal on $flatten\cpu_I.$procdff$20749 ($dff) from module top (D = \cpu_I.decode_SRC_USE_SUB_LESS, Q = \cpu_I.decode_to_execute_SRC_USE_SUB_LESS).
  8735. Adding EN signal on $flatten\cpu_I.$procdff$20748 ($dff) from module top (D = 1'0, Q = \cpu_I.execute_to_memory_MMU_RSP_refilling).
  8736. Adding EN signal on $flatten\cpu_I.$procdff$20741 ($dff) from module top (D = \cpu_I.execute_MUL_LL, Q = \cpu_I.execute_to_memory_MUL_LL).
  8737. Adding EN signal on $flatten\cpu_I.$procdff$20740 ($dff) from module top (D = \cpu_I.decode_SRC2_FORCE_ZERO, Q = \cpu_I.decode_to_execute_SRC2_FORCE_ZERO).
  8738. Adding EN signal on $flatten\cpu_I.$procdff$20737 ($dff) from module top (D = \cpu_I.decode_to_execute_IS_MUL, Q = \cpu_I.execute_to_memory_IS_MUL).
  8739. Adding EN signal on $flatten\cpu_I.$procdff$20736 ($dff) from module top (D = \cpu_I._zz_217_, Q = \cpu_I.decode_to_execute_IS_MUL).
  8740. Adding EN signal on $flatten\cpu_I.$procdff$20734 ($dff) from module top (D = \cpu_I.decode_to_execute_MEMORY_ENABLE, Q = \cpu_I.execute_to_memory_MEMORY_ENABLE).
  8741. Adding EN signal on $flatten\cpu_I.$procdff$20733 ($dff) from module top (D = \cpu_I._zz_224_, Q = \cpu_I.decode_to_execute_MEMORY_ENABLE).
  8742. Adding EN signal on $flatten\cpu_I.$procdff$20731 ($dff) from module top (D = \cpu_I.decode_to_execute_MEMORY_STORE, Q = \cpu_I.execute_to_memory_MEMORY_STORE).
  8743. Adding EN signal on $flatten\cpu_I.$procdff$20730 ($dff) from module top (D = \cpu_I.IBusCachedPlugin_cache.io_cpu_fetch_data_regNextWhen [5], Q = \cpu_I.decode_to_execute_MEMORY_STORE).
  8744. Adding EN signal on $flatten\cpu_I.$procdff$20729 ($dff) from module top (D = \cpu_I.IBusCachedPlugin_decodePrediction_cmd_hadBranch, Q = \cpu_I.decode_to_execute_PREDICTION_HAD_BRANCHED2).
  8745. Adding EN signal on $flatten\cpu_I.$procdff$20728 ($dff) from module top (D = \cpu_I.decode_RS1, Q = \cpu_I.decode_to_execute_RS1).
  8746. Adding EN signal on $flatten\cpu_I.$procdff$20726 ($dff) from module top (D = \cpu_I.execute_MUL_HH, Q = \cpu_I.execute_to_memory_MUL_HH).
  8747. Adding EN signal on $flatten\cpu_I.$procdff$20724 ($dff) from module top (D = \cpu_I._zz_269_ [31:0], Q = \cpu_I.memory_DivPlugin_div_result).
  8748. Adding SRST signal on $flatten\cpu_I.$procdff$20723 ($dff) from module top (D = $flatten\cpu_I.$procmux$6062_Y, Q = \cpu_I.memory_DivPlugin_div_done, rval = 1'0).
  8749. Adding EN signal on $auto$ff.cc:266:slice$21587 ($sdff) from module top (D = 1'1, Q = \cpu_I.memory_DivPlugin_div_done).
  8750. Adding EN signal on $flatten\cpu_I.$procdff$20722 ($dff) from module top (D = $flatten\cpu_I.$logic_and$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4657$2466_Y, Q = \cpu_I.memory_DivPlugin_div_needRevert).
  8751. Adding SRST signal on $flatten\cpu_I.$procdff$20721 ($dff) from module top (D = $flatten\cpu_I.$procmux$5888_Y, Q = \cpu_I.memory_DivPlugin_accumulator [31:0], rval = 0).
  8752. Adding EN signal on $flatten\cpu_I.$procdff$20721 ($dff) from module top (D = 33'000000000000000000000000000000000, Q = \cpu_I.memory_DivPlugin_accumulator [64:32]).
  8753. Adding EN signal on $auto$ff.cc:266:slice$21590 ($sdff) from module top (D = \cpu_I.memory_DivPlugin_div_stage_0_outRemainder, Q = \cpu_I.memory_DivPlugin_accumulator [31:0]).
  8754. Adding EN signal on $flatten\cpu_I.$procdff$20720 ($dff) from module top (D = $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4656$2457_Y, Q = \cpu_I.memory_DivPlugin_rs2).
  8755. Adding EN signal on $flatten\cpu_I.$procdff$20719 ($dff) from module top (D = $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4655$2454_Y [32], Q = \cpu_I.memory_DivPlugin_rs1 [32]).
  8756. Adding EN signal on $flatten\cpu_I.$procdff$20719 ($dff) from module top (D = $flatten\cpu_I.$0\memory_DivPlugin_rs1[32:0] [31:0], Q = \cpu_I.memory_DivPlugin_rs1 [31:0]).
  8757. Adding EN signal on $flatten\cpu_I.$procdff$20718 ($dff) from module top (D = 2'11, Q = \cpu_I.CsrPlugin_interrupt_targetPrivilege).
  8758. Adding EN signal on $flatten\cpu_I.$procdff$20717 ($dff) from module top (D = 4'0011, Q = \cpu_I.CsrPlugin_interrupt_code).
  8759. Adding EN signal on $flatten\cpu_I.$procdff$20716 ($dff) from module top (D = $flatten\cpu_I.$0\CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[31:0], Q = \cpu_I.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr).
  8760. Adding EN signal on $flatten\cpu_I.$procdff$20715 ($dff) from module top (D = $flatten\cpu_I.$0\CsrPlugin_exceptionPortCtrl_exceptionContext_code[3:0], Q = \cpu_I.CsrPlugin_exceptionPortCtrl_exceptionContext_code).
  8761. Adding EN signal on $flatten\cpu_I.$procdff$20712 ($dff) from module top (D = \cpu_I.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr, Q = \cpu_I.CsrPlugin_mtval).
  8762. Adding EN signal on $flatten\cpu_I.$procdff$20711 ($dff) from module top (D = \cpu_I.CsrPlugin_trapCause, Q = \cpu_I.CsrPlugin_mcause_exceptionCode).
  8763. Adding EN signal on $flatten\cpu_I.$procdff$20710 ($dff) from module top (D = $flatten\cpu_I.$logic_not$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4627$2448_Y, Q = \cpu_I.CsrPlugin_mcause_interrupt).
  8764. Adding SRST signal on $flatten\cpu_I.$procdff$20709 ($dff) from module top (D = \cpu_I.execute_CsrPlugin_writeData [3], Q = \cpu_I.CsrPlugin_mip_MSIP, rval = 1'0).
  8765. Adding EN signal on $flatten\cpu_I.$procdff$20705 ($dff) from module top (D = \cpu_I.execute_CsrPlugin_writeData [31:2], Q = \cpu_I.CsrPlugin_mtvec_base).
  8766. Adding EN signal on $flatten\cpu_I.$procdff$20701 ($dff) from module top (D = \cpu_I.IBusCachedPlugin_s1_tightlyCoupledHit, Q = \cpu_I.IBusCachedPlugin_s2_tightlyCoupledHit).
  8767. Adding EN signal on $flatten\cpu_I.$procdff$20700 ($dff) from module top (D = 1'0, Q = \cpu_I.IBusCachedPlugin_s1_tightlyCoupledHit).
  8768. Adding EN signal on $flatten\cpu_I.$procdff$20699 ($dff) from module top (D = \cpu_I.IBusCachedPlugin_fetchPc_pcReg, Q = \cpu_I._zz_68_).
  8769. Adding EN signal on $flatten\cache_bus_I.$procdff$20905 ($dff) from module top (D = $flatten\cache_bus_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:182$3404_Y, Q = \cache_bus_I.ctrl_is_io).
  8770. Adding SRST signal on $auto$ff.cc:266:slice$21637 ($dffe) from module top (D = \cpu_I.dBus_cmd_halfPipe_regs_payload_address [31], Q = \cache_bus_I.ctrl_is_io, rval = 1'0).
  8771. Adding EN signal on $flatten\cache_bus_I.$procdff$20904 ($dff) from module top (D = $flatten\cache_bus_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:181$3403_Y, Q = \cache_bus_I.ctrl_is_ram).
  8772. Adding EN signal on $flatten\cache_bus_I.$procdff$20903 ($dff) from module top (D = $flatten\cache_bus_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:180$3400_Y, Q = \cache_bus_I.ctrl_is_cache).
  8773. Adding EN signal on $flatten\cache_bus_I.$procdff$20902 ($dff) from module top (D = $flatten\cache_bus_I.$and$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:179$3398_Y, Q = \cache_bus_I.ctrl_is_dbus).
  8774. Adding EN signal on $flatten\cache_bus_I.$procdff$20901 ($dff) from module top (D = \cache_bus_I.i_axi_ar_valid, Q = \cache_bus_I.ctrl_is_ibus).
  8775. Adding SRST signal on $flatten\cache_bus_I.$procdff$20894 ($dff) from module top (D = { $flatten\cache_bus_I.$and$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:301$3470_Y $flatten\cache_bus_I.$or$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:301$3466_Y $flatten\cache_bus_I.$or$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:301$3461_Y $flatten\cache_bus_I.$or$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:301$3456_Y }, Q = \cache_bus_I.wb_cyc, rval = 4'0000).
  8776. Adding SRST signal on $flatten\cache_I.$procdff$20564 ($dff) from module top (D = $flatten\cache_I.$procmux$4989_Y, Q = \cache_I.cnt_ofs, rval = 3'000).
  8777. Adding EN signal on $auto$ff.cc:266:slice$21644 ($sdff) from module top (D = $flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:224$4525_Y [2:0], Q = \cache_I.cnt_ofs).
  8778. Adding EN signal on $flatten\cache_I.$procdff$20563 ($dff) from module top (D = \cache_bus_I.addr_mux [23:0], Q = \cache_I.req_addr).
  8779. Adding EN signal on $flatten\cache_I.$procdff$20562 ($dff) from module top (D = \cache_I.ev_tag, Q = \cache_I.ev_tag_r).
  8780. Adding EN signal on $flatten\cache_I.$procdff$20561 ($dff) from module top (D = \cache_I.ev_valid, Q = \cache_I.ev_valid_r).
  8781. Adding EN signal on $flatten\cache_I.$procdff$20560 ($dff) from module top (D = \cache_I.ev_way, Q = \cache_I.ev_way_r).
  8782. Setting constant 0-bit at position 0 on $auto$ff.cc:266:slice$21635 ($dffe) from module top.
  8783. Setting constant 1-bit at position 0 on $auto$ff.cc:266:slice$21610 ($dffe) from module top.
  8784. Setting constant 1-bit at position 1 on $auto$ff.cc:266:slice$21610 ($dffe) from module top.
  8785. Setting constant 0-bit at position 2 on $auto$ff.cc:266:slice$21610 ($dffe) from module top.
  8786. Setting constant 0-bit at position 3 on $auto$ff.cc:266:slice$21610 ($dffe) from module top.
  8787. Setting constant 1-bit at position 0 on $auto$ff.cc:266:slice$21607 ($dffe) from module top.
  8788. Setting constant 1-bit at position 1 on $auto$ff.cc:266:slice$21607 ($dffe) from module top.
  8789. Setting constant 0-bit at position 0 on $auto$ff.cc:266:slice$21592 ($dffe) from module top.
  8790. Setting constant 0-bit at position 1 on $auto$ff.cc:266:slice$21592 ($dffe) from module top.
  8791. Setting constant 0-bit at position 2 on $auto$ff.cc:266:slice$21592 ($dffe) from module top.
  8792. Setting constant 0-bit at position 3 on $auto$ff.cc:266:slice$21592 ($dffe) from module top.
  8793. Setting constant 0-bit at position 4 on $auto$ff.cc:266:slice$21592 ($dffe) from module top.
  8794. Setting constant 0-bit at position 5 on $auto$ff.cc:266:slice$21592 ($dffe) from module top.
  8795. Setting constant 0-bit at position 6 on $auto$ff.cc:266:slice$21592 ($dffe) from module top.
  8796. Setting constant 0-bit at position 7 on $auto$ff.cc:266:slice$21592 ($dffe) from module top.
  8797. Setting constant 0-bit at position 8 on $auto$ff.cc:266:slice$21592 ($dffe) from module top.
  8798. Setting constant 0-bit at position 9 on $auto$ff.cc:266:slice$21592 ($dffe) from module top.
  8799. Setting constant 0-bit at position 10 on $auto$ff.cc:266:slice$21592 ($dffe) from module top.
  8800. Setting constant 0-bit at position 11 on $auto$ff.cc:266:slice$21592 ($dffe) from module top.
  8801. Setting constant 0-bit at position 12 on $auto$ff.cc:266:slice$21592 ($dffe) from module top.
  8802. Setting constant 0-bit at position 13 on $auto$ff.cc:266:slice$21592 ($dffe) from module top.
  8803. Setting constant 0-bit at position 14 on $auto$ff.cc:266:slice$21592 ($dffe) from module top.
  8804. Setting constant 0-bit at position 15 on $auto$ff.cc:266:slice$21592 ($dffe) from module top.
  8805. Setting constant 0-bit at position 16 on $auto$ff.cc:266:slice$21592 ($dffe) from module top.
  8806. Setting constant 0-bit at position 17 on $auto$ff.cc:266:slice$21592 ($dffe) from module top.
  8807. Setting constant 0-bit at position 18 on $auto$ff.cc:266:slice$21592 ($dffe) from module top.
  8808. Setting constant 0-bit at position 19 on $auto$ff.cc:266:slice$21592 ($dffe) from module top.
  8809. Setting constant 0-bit at position 20 on $auto$ff.cc:266:slice$21592 ($dffe) from module top.
  8810. Setting constant 0-bit at position 21 on $auto$ff.cc:266:slice$21592 ($dffe) from module top.
  8811. Setting constant 0-bit at position 22 on $auto$ff.cc:266:slice$21592 ($dffe) from module top.
  8812. Setting constant 0-bit at position 23 on $auto$ff.cc:266:slice$21592 ($dffe) from module top.
  8813. Setting constant 0-bit at position 24 on $auto$ff.cc:266:slice$21592 ($dffe) from module top.
  8814. Setting constant 0-bit at position 25 on $auto$ff.cc:266:slice$21592 ($dffe) from module top.
  8815. Setting constant 0-bit at position 26 on $auto$ff.cc:266:slice$21592 ($dffe) from module top.
  8816. Setting constant 0-bit at position 27 on $auto$ff.cc:266:slice$21592 ($dffe) from module top.
  8817. Setting constant 0-bit at position 28 on $auto$ff.cc:266:slice$21592 ($dffe) from module top.
  8818. Setting constant 0-bit at position 29 on $auto$ff.cc:266:slice$21592 ($dffe) from module top.
  8819. Setting constant 0-bit at position 30 on $auto$ff.cc:266:slice$21592 ($dffe) from module top.
  8820. Setting constant 0-bit at position 31 on $auto$ff.cc:266:slice$21592 ($dffe) from module top.
  8821. Setting constant 0-bit at position 32 on $auto$ff.cc:266:slice$21592 ($dffe) from module top.
  8822. Setting constant 0-bit at position 0 on $auto$ff.cc:266:slice$21570 ($dffe) from module top.
  8823. Setting constant 0-bit at position 0 on $auto$ff.cc:266:slice$21545 ($dffe) from module top.
  8824. Setting constant 0-bit at position 0 on $auto$ff.cc:266:slice$21540 ($dffe) from module top.
  8825. Setting constant 0-bit at position 0 on $auto$ff.cc:266:slice$21513 ($adffe) from module top.
  8826. Setting constant 0-bit at position 1 on $auto$ff.cc:266:slice$21513 ($adffe) from module top.
  8827. Setting constant 0-bit at position 0 on $auto$ff.cc:266:slice$21465 ($dffe) from module top.
  8828. Setting constant 0-bit at position 0 on $auto$ff.cc:266:slice$21460 ($adffe) from module top.
  8829. 63.12.7. Executing OPT_CLEAN pass (remove unused cells and wires).
  8830. Finding unused cells or wires in module \top..
  8831. Removed 183 unused cells and 233 unused wires.
  8832. <suppressed ~185 debug messages>
  8833. 63.12.8. Executing OPT_EXPR pass (perform const folding).
  8834. Optimizing module top.
  8835. <suppressed ~51 debug messages>
  8836. 63.12.9. Rerunning OPT passes. (Maybe there is more to do..)
  8837. 63.12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
  8838. Running muxtree optimizer on module \top..
  8839. Creating internal representation of mux trees.
  8840. Evaluating internal representation of mux trees.
  8841. Analyzing evaluation results.
  8842. dead port 1/2 on $mux $flatten\cpu_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3266$2158.
  8843. dead port 2/2 on $mux $flatten\cpu_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3266$2158.
  8844. Removed 2 multiplexer ports.
  8845. <suppressed ~228 debug messages>
  8846. 63.12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  8847. Optimizing cells in module \top.
  8848. New input vector for $reduce_and cell $auto$opt_dff.cc:220:make_patterns_logic$21621: { \cpu_I._zz_176_ \cpu_I.CsrPlugin_hadException }
  8849. Optimizing cells in module \top.
  8850. Performed a total of 1 changes.
  8851. 63.12.12. Executing OPT_MERGE pass (detect identical cells).
  8852. Finding identical cells in module `\top'.
  8853. <suppressed ~27 debug messages>
  8854. Removed a total of 9 cells.
  8855. 63.12.13. Executing OPT_DFF pass (perform DFF optimizations).
  8856. Adding SRST signal on $auto$ff.cc:266:slice$21622 ($dffe) from module top (D = \cpu_I.CsrPlugin_exceptionPortCtrl_exceptionContext_code, Q = \cpu_I.CsrPlugin_mcause_exceptionCode, rval = 4'0011).
  8857. Setting constant 0-bit at position 0 on $auto$ff.cc:266:slice$21466 ($dffe) from module top.
  8858. Setting constant 0-bit at position 1 on $auto$ff.cc:266:slice$21466 ($dffe) from module top.
  8859. Setting constant 0-bit at position 0 on $auto$ff.cc:266:slice$21634 ($dffe) from module top.
  8860. 63.12.14. Executing OPT_CLEAN pass (remove unused cells and wires).
  8861. Finding unused cells or wires in module \top..
  8862. Removed 1 unused cells and 34 unused wires.
  8863. <suppressed ~3 debug messages>
  8864. 63.12.15. Executing OPT_EXPR pass (perform const folding).
  8865. Optimizing module top.
  8866. <suppressed ~2 debug messages>
  8867. 63.12.16. Rerunning OPT passes. (Maybe there is more to do..)
  8868. 63.12.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
  8869. Running muxtree optimizer on module \top..
  8870. Creating internal representation of mux trees.
  8871. Evaluating internal representation of mux trees.
  8872. Analyzing evaluation results.
  8873. Removed 0 multiplexer ports.
  8874. <suppressed ~228 debug messages>
  8875. 63.12.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  8876. Optimizing cells in module \top.
  8877. Performed a total of 0 changes.
  8878. 63.12.19. Executing OPT_MERGE pass (detect identical cells).
  8879. Finding identical cells in module `\top'.
  8880. Removed a total of 0 cells.
  8881. 63.12.20. Executing OPT_DFF pass (perform DFF optimizations).
  8882. Setting constant 0-bit at position 0 on $auto$ff.cc:266:slice$21471 ($dffe) from module top.
  8883. Setting constant 0-bit at position 1 on $auto$ff.cc:266:slice$21471 ($dffe) from module top.
  8884. Setting constant 0-bit at position 0 on $auto$ff.cc:266:slice$21555 ($dffe) from module top.
  8885. Setting constant 0-bit at position 1 on $auto$ff.cc:266:slice$21555 ($dffe) from module top.
  8886. 63.12.21. Executing OPT_CLEAN pass (remove unused cells and wires).
  8887. Finding unused cells or wires in module \top..
  8888. Removed 0 unused cells and 1 unused wires.
  8889. <suppressed ~1 debug messages>
  8890. 63.12.22. Executing OPT_EXPR pass (perform const folding).
  8891. Optimizing module top.
  8892. 63.12.23. Rerunning OPT passes. (Maybe there is more to do..)
  8893. 63.12.24. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
  8894. Running muxtree optimizer on module \top..
  8895. Creating internal representation of mux trees.
  8896. Evaluating internal representation of mux trees.
  8897. Analyzing evaluation results.
  8898. Removed 0 multiplexer ports.
  8899. <suppressed ~228 debug messages>
  8900. 63.12.25. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  8901. Optimizing cells in module \top.
  8902. Performed a total of 0 changes.
  8903. 63.12.26. Executing OPT_MERGE pass (detect identical cells).
  8904. Finding identical cells in module `\top'.
  8905. Removed a total of 0 cells.
  8906. 63.12.27. Executing OPT_DFF pass (perform DFF optimizations).
  8907. Setting constant 0-bit at position 0 on $auto$ff.cc:266:slice$21554 ($dffe) from module top.
  8908. Setting constant 0-bit at position 1 on $auto$ff.cc:266:slice$21554 ($dffe) from module top.
  8909. 63.12.28. Executing OPT_CLEAN pass (remove unused cells and wires).
  8910. Finding unused cells or wires in module \top..
  8911. 63.12.29. Executing OPT_EXPR pass (perform const folding).
  8912. Optimizing module top.
  8913. 63.12.30. Rerunning OPT passes. (Maybe there is more to do..)
  8914. 63.12.31. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
  8915. Running muxtree optimizer on module \top..
  8916. Creating internal representation of mux trees.
  8917. Evaluating internal representation of mux trees.
  8918. Analyzing evaluation results.
  8919. Removed 0 multiplexer ports.
  8920. <suppressed ~228 debug messages>
  8921. 63.12.32. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  8922. Optimizing cells in module \top.
  8923. Performed a total of 0 changes.
  8924. 63.12.33. Executing OPT_MERGE pass (detect identical cells).
  8925. Finding identical cells in module `\top'.
  8926. Removed a total of 0 cells.
  8927. 63.12.34. Executing OPT_DFF pass (perform DFF optimizations).
  8928. Setting constant 0-bit at position 0 on $auto$ff.cc:266:slice$21553 ($dffe) from module top.
  8929. Setting constant 0-bit at position 1 on $auto$ff.cc:266:slice$21553 ($dffe) from module top.
  8930. 63.12.35. Executing OPT_CLEAN pass (remove unused cells and wires).
  8931. Finding unused cells or wires in module \top..
  8932. 63.12.36. Executing OPT_EXPR pass (perform const folding).
  8933. Optimizing module top.
  8934. 63.12.37. Rerunning OPT passes. (Maybe there is more to do..)
  8935. 63.12.38. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
  8936. Running muxtree optimizer on module \top..
  8937. Creating internal representation of mux trees.
  8938. Evaluating internal representation of mux trees.
  8939. Analyzing evaluation results.
  8940. Removed 0 multiplexer ports.
  8941. <suppressed ~228 debug messages>
  8942. 63.12.39. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  8943. Optimizing cells in module \top.
  8944. Performed a total of 0 changes.
  8945. 63.12.40. Executing OPT_MERGE pass (detect identical cells).
  8946. Finding identical cells in module `\top'.
  8947. Removed a total of 0 cells.
  8948. 63.12.41. Executing OPT_DFF pass (perform DFF optimizations).
  8949. 63.12.42. Executing OPT_CLEAN pass (remove unused cells and wires).
  8950. Finding unused cells or wires in module \top..
  8951. 63.12.43. Executing OPT_EXPR pass (perform const folding).
  8952. Optimizing module top.
  8953. 63.12.44. Finished OPT passes. (There is nothing left to do.)
  8954. 63.13. Executing WREDUCE pass (reducing word size of cells).
  8955. Removed top 24 address bits (of 32) from memory init port top.$flatten\bram_I.$meminit$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:0$3387 (bram_I.mem).
  8956. Removed top 28 address bits (of 32) from memory init port top.$flatten\sys_mgr_I.\crg_I.$meminit$\rst_cnt_nxt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:47$4056 (sys_mgr_I.crg_I.rst_cnt_nxt).
  8957. Removed top 28 address bits (of 32) from memory init port top.$flatten\sys_mgr_I.\crg_I.$meminit$\rst_cnt_nxt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:47$4057 (sys_mgr_I.crg_I.rst_cnt_nxt).
  8958. Removed top 28 address bits (of 32) from memory init port top.$flatten\sys_mgr_I.\crg_I.$meminit$\rst_cnt_nxt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:47$4058 (sys_mgr_I.crg_I.rst_cnt_nxt).
  8959. Removed top 28 address bits (of 32) from memory init port top.$flatten\sys_mgr_I.\crg_I.$meminit$\rst_cnt_nxt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:47$4059 (sys_mgr_I.crg_I.rst_cnt_nxt).
  8960. Removed top 28 address bits (of 32) from memory init port top.$flatten\sys_mgr_I.\crg_I.$meminit$\rst_cnt_nxt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:47$4060 (sys_mgr_I.crg_I.rst_cnt_nxt).
  8961. Removed top 28 address bits (of 32) from memory init port top.$flatten\sys_mgr_I.\crg_I.$meminit$\rst_cnt_nxt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:47$4061 (sys_mgr_I.crg_I.rst_cnt_nxt).
  8962. Removed top 28 address bits (of 32) from memory init port top.$flatten\sys_mgr_I.\crg_I.$meminit$\rst_cnt_nxt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:47$4062 (sys_mgr_I.crg_I.rst_cnt_nxt).
  8963. Removed top 28 address bits (of 32) from memory init port top.$flatten\sys_mgr_I.\crg_I.$meminit$\rst_cnt_nxt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:47$4063 (sys_mgr_I.crg_I.rst_cnt_nxt).
  8964. Removed top 28 address bits (of 32) from memory init port top.$flatten\sys_mgr_I.\crg_I.$meminit$\rst_cnt_nxt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:47$4064 (sys_mgr_I.crg_I.rst_cnt_nxt).
  8965. Removed top 28 address bits (of 32) from memory init port top.$flatten\sys_mgr_I.\crg_I.$meminit$\rst_cnt_nxt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:47$4065 (sys_mgr_I.crg_I.rst_cnt_nxt).
  8966. Removed top 28 address bits (of 32) from memory init port top.$flatten\sys_mgr_I.\crg_I.$meminit$\rst_cnt_nxt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:47$4066 (sys_mgr_I.crg_I.rst_cnt_nxt).
  8967. Removed top 28 address bits (of 32) from memory init port top.$flatten\sys_mgr_I.\crg_I.$meminit$\rst_cnt_nxt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:47$4067 (sys_mgr_I.crg_I.rst_cnt_nxt).
  8968. Removed top 28 address bits (of 32) from memory init port top.$flatten\sys_mgr_I.\crg_I.$meminit$\rst_cnt_nxt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:47$4068 (sys_mgr_I.crg_I.rst_cnt_nxt).
  8969. Removed top 28 address bits (of 32) from memory init port top.$flatten\sys_mgr_I.\crg_I.$meminit$\rst_cnt_nxt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:47$4069 (sys_mgr_I.crg_I.rst_cnt_nxt).
  8970. Removed top 28 address bits (of 32) from memory init port top.$flatten\sys_mgr_I.\crg_I.$meminit$\rst_cnt_nxt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:47$4070 (sys_mgr_I.crg_I.rst_cnt_nxt).
  8971. Removed top 28 address bits (of 32) from memory init port top.$flatten\sys_mgr_I.\crg_I.$meminit$\rst_cnt_nxt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:47$4071 (sys_mgr_I.crg_I.rst_cnt_nxt).
  8972. Removed top 28 address bits (of 32) from memory init port top.$flatten\vid_I.$auto$mem.cc:328:emit$4700 ($flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698).
  8973. Removed top 1 bits (of 2) from port B of cell top.$auto$opt_dff.cc:195:make_patterns_logic$21604 ($ne).
  8974. Removed top 2 bits (of 3) from port B of cell top.$auto$fsm_map.cc:77:implement_pattern_cache$21168 ($eq).
  8975. Removed top 2 bits (of 5) from port B of cell top.$auto$fsm_map.cc:77:implement_pattern_cache$21231 ($eq).
  8976. Removed top 2 bits (of 3) from port B of cell top.$flatten\cpu_I.\IBusCachedPlugin_cache.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:294$1775 ($add).
  8977. Removed top 6 bits (of 7) from port B of cell top.$flatten\cpu_I.\IBusCachedPlugin_cache.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:307$1777 ($add).
  8978. Removed top 1 bits (of 2) from port B of cell top.$flatten\cpu_I.$procmux$6952_CMP0 ($eq).
  8979. Removed top 1 bits (of 2) from port B of cell top.$flatten\cpu_I.$procmux$6711_CMP0 ($eq).
  8980. Removed top 1 bits (of 2) from port B of cell top.$flatten\cpu_I.$procmux$6701_CMP0 ($eq).
  8981. Removed top 1 bits (of 2) from port B of cell top.$flatten\cpu_I.$procmux$6694_CMP0 ($eq).
  8982. Removed top 1 bits (of 2) from port B of cell top.$flatten\cpu_I.$procmux$6690_CMP0 ($eq).
  8983. Removed top 1 bits (of 2) from port B of cell top.$flatten\cpu_I.$procmux$6685_CMP0 ($eq).
  8984. Removed top 1 bits (of 2) from port B of cell top.$flatten\cpu_I.$procmux$6681_CMP0 ($eq).
  8985. Removed top 1 bits (of 2) from port B of cell top.$flatten\cpu_I.$procmux$6392_CMP0 ($eq).
  8986. Removed top 1 bits (of 2) from port B of cell top.$flatten\cpu_I.$procmux$6324_CMP0 ($eq).
  8987. Removed top 2 bits (of 12) from port B of cell top.$flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4871$2545 ($eq).
  8988. Removed top 2 bits (of 12) from port B of cell top.$flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4868$2543 ($eq).
  8989. Removed top 2 bits (of 12) from port B of cell top.$flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4865$2541 ($eq).
  8990. Removed top 2 bits (of 12) from port B of cell top.$flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4862$2539 ($eq).
  8991. Removed top 2 bits (of 12) from port B of cell top.$flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4859$2537 ($eq).
  8992. Removed top 2 bits (of 12) from port B of cell top.$flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4856$2535 ($eq).
  8993. Removed top 2 bits (of 12) from port B of cell top.$flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4853$2533 ($eq).
  8994. Removed top 1 bits (of 12) from port B of cell top.$flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4850$2531 ($eq).
  8995. Removed top 31 bits (of 32) from port B of cell top.$flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4656$2457 ($add).
  8996. Removed top 32 bits (of 33) from port B of cell top.$flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4655$2454 ($add).
  8997. Removed top 1 bits (of 33) from port Y of cell top.$flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4655$2454 ($add).
  8998. Removed top 1 bits (of 33) from port A of cell top.$flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4655$2454 ($add).
  8999. Removed top 1 bits (of 33) from mux cell top.$flatten\cpu_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4655$2453 ($mux).
  9000. Removed top 1 bits (of 33) from port Y of cell top.$flatten\cpu_I.$not$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4655$2452 ($not).
  9001. Removed top 1 bits (of 33) from port A of cell top.$flatten\cpu_I.$not$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4655$2452 ($not).
  9002. Removed top 20 bits (of 32) from port A of cell top.$flatten\cpu_I.$or$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4253$2371 ($or).
  9003. Removed top 19 bits (of 32) from port A of cell top.$flatten\cpu_I.$or$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4253$2370 ($or).
  9004. Removed top 28 bits (of 32) from port B of cell top.$flatten\cpu_I.$or$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4253$2370 ($or).
  9005. Removed top 19 bits (of 32) from port Y of cell top.$flatten\cpu_I.$or$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4253$2370 ($or).
  9006. Removed top 1 bits (of 2) from port B of cell top.$flatten\cpu_I.$procmux$7090_CMP0 ($eq).
  9007. Removed top 1 bits (of 33) from port B of cell top.$flatten\cpu_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4130$2297 ($sub).
  9008. Removed top 5 bits (of 6) from port B of cell top.$flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4121$2296 ($add).
  9009. Removed top 14 bits (of 66) from port A of cell top.$flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4097$2290 ($add).
  9010. Removed top 2 bits (of 66) from port Y of cell top.$flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4097$2290 ($add).
  9011. Removed top 2 bits (of 66) from port B of cell top.$flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4097$2290 ($add).
  9012. Removed top 1 bits (of 2) from port B of cell top.$auto$fsm_map.cc:77:implement_pattern_cache$21164 ($eq).
  9013. Removed top 12 bits (of 32) from mux cell top.$flatten\cpu_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3814$2231 ($mux).
  9014. Removed top 2 bits (of 3) from port B of cell top.$flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3670$2215 ($eq).
  9015. Removed top 1 bits (of 2) from port B of cell top.$flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3567$2199 ($eq).
  9016. Removed top 1 bits (of 3) from port B of cell top.$flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3381$2171 ($eq).
  9017. Removed top 12 bits (of 32) from mux cell top.$flatten\cpu_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3156$2121 ($mux).
  9018. Removed top 1 bits (of 2) from port B of cell top.$flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3062$2111 ($eq).
  9019. Removed top 29 bits (of 32) from port B of cell top.$flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2947$2077 ($add).
  9020. Removed top 1 bits (of 2) from port B of cell top.$flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2751$2029 ($eq).
  9021. Removed top 1 bits (of 2) from port B of cell top.$flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2751$2027 ($eq).
  9022. Removed top 7 bits (of 32) from mux cell top.$flatten\cpu_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2643$2004 ($mux).
  9023. Removed top 17 bits (of 34) from port A of cell top.$flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2499$1996 ($mul).
  9024. Removed top 17 bits (of 34) from port B of cell top.$flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2499$1996 ($mul).
  9025. Removed top 17 bits (of 34) from port A of cell top.$flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2477$1990 ($mul).
  9026. Removed top 17 bits (of 34) from port B of cell top.$flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2477$1990 ($mul).
  9027. Removed top 1 bits (of 2) from port B of cell top.$flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2476$1982 ($eq).
  9028. Removed top 2 bits (of 52) from port B of cell top.$flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2461$1981 ($add).
  9029. Removed top 17 bits (of 34) from port A of cell top.$flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2451$1978 ($mul).
  9030. Removed top 17 bits (of 34) from port B of cell top.$flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2451$1978 ($mul).
  9031. Removed top 1 bits (of 3) from port B of cell top.$flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1686$1962 ($eq).
  9032. Removed top 3 bits (of 7) from port B of cell top.$flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1684$1959 ($eq).
  9033. Removed top 2 bits (of 6) from port B of cell top.$flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1683$1957 ($eq).
  9034. Removed top 1 bits (of 2) from port B of cell top.$flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1677$1951 ($eq).
  9035. Removed top 1 bits (of 2) from port B of cell top.$flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1676$1949 ($eq).
  9036. Removed top 1 bits (of 7) from port B of cell top.$flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1671$1939 ($eq).
  9037. Removed top 1 bits (of 3) from port B of cell top.$flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1660$1926 ($eq).
  9038. Removed top 2 bits (of 32) from FF cell top.$flatten\cpu_I.$procdff$20844 ($adff).
  9039. Removed top 3 bits (of 5) from port B of cell top.$flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1645$1917 ($eq).
  9040. Removed top 1 bits (of 4) from port B of cell top.$flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1640$1910 ($eq).
  9041. Removed top 3 bits (of 4) from port B of cell top.$flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1640$1909 ($eq).
  9042. Removed top 2 bits (of 3) from port B of cell top.$flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1639$1908 ($eq).
  9043. Removed top 1 bits (of 3) from port B of cell top.$flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1629$1899 ($eq).
  9044. Removed top 1 bits (of 3) from port B of cell top.$flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1615$1890 ($eq).
  9045. Removed top 1 bits (of 2) from port B of cell top.$flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1597$1880 ($eq).
  9046. Removed top 1 bits (of 2) from port B of cell top.$flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1589$1872 ($eq).
  9047. Removed top 1 bits (of 2) from port B of cell top.$flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1579$1865 ($eq).
  9048. Removed top 2 bits (of 32) from FF cell top.$auto$ff.cc:266:slice$21566 ($dffe).
  9049. Removed top 1 bits (of 2) from port B of cell top.$flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1575$1862 ($eq).
  9050. Removed top 1 bits (of 2) from port B of cell top.$flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1567$1855 ($eq).
  9051. Removed top 2 bits (of 3) from port B of cell top.$flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1554$1847 ($eq).
  9052. Removed top 3 bits (of 7) from port A of cell top.$flatten\cpu_I.$sshl$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1543$1841 ($sshl).
  9053. Removed top 3 bits (of 7) from port Y of cell top.$flatten\cpu_I.$sshl$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1543$1841 ($sshl).
  9054. Removed top 1 bits (of 33) from port A of cell top.$flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1527$1840 ($add).
  9055. Removed top 32 bits (of 33) from port B of cell top.$flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1527$1840 ($add).
  9056. Removed top 1 bits (of 33) from port Y of cell top.$flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1527$1840 ($add).
  9057. Removed top 31 bits (of 32) from mux cell top.$flatten\cpu_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1502$1835 ($mux).
  9058. Removed top 30 bits (of 32) from port B of cell top.$flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1498$1831 ($add).
  9059. Removed top 3 bits (of 4) from port B of cell top.$flatten\cpu_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1482$1830 ($sub).
  9060. Removed top 1 bits (of 33) from port Y of cell top.$flatten\cpu_I.$sshr$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1470$1827 ($sshr).
  9061. Removed top 19 bits (of 52) from port A of cell top.$flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1456$1823 ($add).
  9062. Removed top 2 bits (of 52) from port B of cell top.$flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1456$1823 ($add).
  9063. Removed top 1 bits (of 52) from port Y of cell top.$flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1456$1823 ($add).
  9064. Removed top 2 bits (of 34) from FF cell top.$flatten\cpu_I.$procdff$20727 ($dff).
  9065. Removed top 1 bits (of 2) from port B of cell top.$flatten\cpu_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1433$1795 ($eq).
  9066. Removed top 1 bits (of 3) from port B of cell top.$auto$fsm_map.cc:77:implement_pattern_cache$21348 ($eq).
  9067. Removed top 2 bits (of 4) from port B of cell top.$flatten\cache_bus_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:301$3469 ($eq).
  9068. Removed top 2 bits (of 4) from port B of cell top.$flatten\cache_bus_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:301$3464 ($eq).
  9069. Removed top 3 bits (of 4) from port B of cell top.$flatten\cache_bus_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:301$3459 ($eq).
  9070. Removed top 1 bits (of 3) from port B of cell top.$flatten\cache_bus_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:289$3447 ($eq).
  9071. Removed top 2 bits (of 3) from port B of cell top.$flatten\cache_bus_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:269$3439 ($eq).
  9072. Removed top 2 bits (of 3) from port B of cell top.$flatten\cache_bus_I.$or$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:224$3419 ($or).
  9073. Removed top 2 bits (of 3) from port Y of cell top.$flatten\cache_bus_I.$or$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:224$3419 ($or).
  9074. Removed top 6 bits (of 30) from mux cell top.$flatten\cache_bus_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:214$3415 ($mux).
  9075. Removed top 1 bits (of 2) from port B of cell top.$flatten\cache_bus_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:180$3399 ($eq).
  9076. Removed top 29 bits (of 32) from mux cell top.$flatten\cache_bus_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:156$3394 ($mux).
  9077. Removed top 29 bits (of 32) from mux cell top.$flatten\cache_bus_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:148$3393 ($mux).
  9078. Removed top 30 bits (of 32) from mux cell top.$flatten\cache_bus_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:148$3392 ($mux).
  9079. Removed top 31 bits (of 32) from mux cell top.$flatten\cache_bus_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:146$3391 ($mux).
  9080. Removed top 1 bits (of 3) from port B of cell top.$auto$fsm_map.cc:77:implement_pattern_cache$21326 ($eq).
  9081. Removed top 1 bits (of 3) from port B of cell top.$auto$fsm_map.cc:77:implement_pattern_cache$21285 ($eq).
  9082. Removed top 1 bits (of 3) from port B of cell top.$auto$fsm_map.cc:77:implement_pattern_cache$21277 ($eq).
  9083. Removed top 1 bits (of 3) from port B of cell top.$auto$fsm_map.cc:77:implement_pattern_cache$21273 ($eq).
  9084. Removed top 2 bits (of 4) from port B of cell top.$auto$fsm_map.cc:77:implement_pattern_cache$21260 ($eq).
  9085. Removed top 2 bits (of 5) from port B of cell top.$auto$fsm_map.cc:77:implement_pattern_cache$21256 ($eq).
  9086. Removed top 1 bits (of 2) from mux cell top.$flatten\cache_I.$procmux$4985 ($mux).
  9087. Removed top 1 bits (of 2) from mux cell top.$flatten\cache_I.$procmux$4976 ($mux).
  9088. Removed top 1 bits (of 2) from port B of cell top.$flatten\cache_I.$procmux$4704_CMP0 ($eq).
  9089. Removed top 31 bits (of 32) from port B of cell top.$flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:410$4589 ($add).
  9090. Removed top 30 bits (of 32) from port Y of cell top.$flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:410$4589 ($add).
  9091. Removed top 31 bits (of 32) from port B of cell top.$flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:410$4586 ($add).
  9092. Removed top 30 bits (of 32) from port Y of cell top.$flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:410$4586 ($add).
  9093. Removed top 31 bits (of 32) from port B of cell top.$flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:410$4583 ($add).
  9094. Removed top 30 bits (of 32) from port Y of cell top.$flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:410$4583 ($add).
  9095. Removed top 31 bits (of 32) from port B of cell top.$flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:410$4580 ($add).
  9096. Removed top 30 bits (of 32) from port Y of cell top.$flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:410$4580 ($add).
  9097. Removed top 1 bits (of 2) from port A of cell top.$flatten\cache_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:385$4571 ($eq).
  9098. Removed top 31 bits (of 32) from port B of cell top.$flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:224$4525 ($add).
  9099. Removed top 29 bits (of 32) from port Y of cell top.$flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:224$4525 ($add).
  9100. Removed top 1 bits (of 2) from port B of cell top.$flatten\cache_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:208$4518 ($eq).
  9101. Removed top 1 bits (of 2) from port B of cell top.$flatten\memctrl_I.$procmux$5655_CMP0 ($eq).
  9102. Removed top 3 bits (of 4) from port B of cell top.$flatten\memctrl_I.$procmux$5644_CMP0 ($eq).
  9103. Removed top 2 bits (of 4) from port B of cell top.$flatten\memctrl_I.$procmux$5643_CMP0 ($eq).
  9104. Removed top 2 bits (of 4) from port B of cell top.$flatten\memctrl_I.$procmux$5642_CMP0 ($eq).
  9105. Removed top 1 bits (of 4) from port B of cell top.$flatten\memctrl_I.$procmux$5641_CMP0 ($eq).
  9106. Removed top 1 bits (of 4) from port B of cell top.$flatten\memctrl_I.$procmux$5640_CMP0 ($eq).
  9107. Removed top 1 bits (of 4) from port B of cell top.$flatten\memctrl_I.$procmux$5639_CMP0 ($eq).
  9108. Removed top 1 bits (of 4) from port B of cell top.$flatten\memctrl_I.$procmux$5638_CMP0 ($eq).
  9109. Removed top 1 bits (of 3) from port B of cell top.$flatten\memctrl_I.$procmux$5549_CMP0 ($eq).
  9110. Removed top 1 bits (of 3) from port B of cell top.$flatten\memctrl_I.$procmux$5535_CMP0 ($eq).
  9111. Removed top 1 bits (of 2) from port B of cell top.$flatten\memctrl_I.$procmux$5502_CMP0 ($eq).
  9112. Removed top 12 bits (of 16) from mux cell top.$flatten\memctrl_I.$procmux$5365 ($mux).
  9113. Converting cell top.$flatten\memctrl_I.$neg$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:0$3203 ($neg) from signed to unsigned.
  9114. Removed top 1 bits (of 3) from port A of cell top.$flatten\memctrl_I.$neg$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:0$3203 ($neg).
  9115. Converting cell top.$flatten\memctrl_I.$neg$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:0$3194 ($neg) from signed to unsigned.
  9116. Removed top 1 bits (of 3) from port A of cell top.$flatten\memctrl_I.$neg$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:0$3194 ($neg).
  9117. Removed top 30 bits (of 32) from port A of cell top.$flatten\memctrl_I.$ge$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:723$3134 ($ge).
  9118. Removed top 30 bits (of 32) from port A of cell top.$flatten\memctrl_I.$ge$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:723$3130 ($ge).
  9119. Removed top 31 bits (of 32) from port A of cell top.$flatten\memctrl_I.$ge$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:723$3126 ($ge).
  9120. Removed top 31 bits (of 32) from port A of cell top.$flatten\memctrl_I.$ge$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:723$3122 ($ge).
  9121. Removed top 30 bits (of 32) from port A of cell top.$flatten\memctrl_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:723$3121 ($sub).
  9122. Removed top 29 bits (of 32) from port Y of cell top.$flatten\memctrl_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:723$3121 ($sub).
  9123. Removed top 29 bits (of 32) from port B of cell top.$flatten\memctrl_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:695$3084 ($sub).
  9124. Removed top 26 bits (of 32) from port Y of cell top.$flatten\memctrl_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:695$3084 ($sub).
  9125. Removed top 26 bits (of 32) from port B of cell top.$flatten\memctrl_I.$shiftx$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:0$3059 ($shiftx).
  9126. Removed top 26 bits (of 32) from port B of cell top.$flatten\memctrl_I.$shiftx$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:0$3056 ($shiftx).
  9127. Removed top 31 bits (of 32) from port B of cell top.$flatten\memctrl_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:517$3053 ($sub).
  9128. Removed top 28 bits (of 32) from port Y of cell top.$flatten\memctrl_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:517$3053 ($sub).
  9129. Removed top 31 bits (of 32) from port B of cell top.$flatten\memctrl_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:510$3050 ($sub).
  9130. Removed top 24 bits (of 32) from port Y of cell top.$flatten\memctrl_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:510$3050 ($sub).
  9131. Removed top 1 bits (of 2) from port B of cell top.$flatten\memctrl_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:452$3034 ($eq).
  9132. Removed top 16 bits (of 32) from mux cell top.$flatten\memctrl_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:377$3026 ($mux).
  9133. Removed top 3 bits (of 5) from port B of cell top.$flatten\memctrl_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:324$2995 ($eq).
  9134. Removed top 31 bits (of 32) from port B of cell top.$flatten\vid_I.\tgen_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:128$3937 ($sub).
  9135. Removed top 22 bits (of 32) from port Y of cell top.$flatten\vid_I.\tgen_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:128$3937 ($sub).
  9136. Removed top 1 bits (of 2) from port B of cell top.$flatten\vid_I.\tgen_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:189$3946 ($eq).
  9137. Removed top 1 bits (of 2) from port B of cell top.$flatten\vid_I.\tgen_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:190$3947 ($eq).
  9138. Removed top 1 bits (of 2) from port B of cell top.$flatten\vid_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:323$1666 ($eq).
  9139. Removed top 8 bits (of 32) from mux cell top.$flatten\vid_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:255$1645 ($mux).
  9140. Removed top 7 bits (of 16) from mux cell top.$flatten\vid_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:236$1634 ($mux).
  9141. Removed top 15 bits (of 16) from port Y of cell top.$flatten\vid_I.$and$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:182$1626 ($and).
  9142. Removed top 31 bits (of 32) from port B of cell top.$flatten\vid_I.\tgen_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:93$3931 ($sub).
  9143. Removed top 21 bits (of 32) from port Y of cell top.$flatten\vid_I.\tgen_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:93$3931 ($sub).
  9144. Removed top 1 bits (of 10) from port B of cell top.$flatten\uart_I.\uart_rx_fifo_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:81$3964 ($eq).
  9145. Removed top 31 bits (of 32) from port B of cell top.$flatten\uart_I.\uart_rx_fifo_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:99$3975 ($add).
  9146. Removed top 23 bits (of 32) from port Y of cell top.$flatten\uart_I.\uart_rx_fifo_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:99$3975 ($add).
  9147. Removed top 31 bits (of 32) from port B of cell top.$flatten\uart_I.\uart_rx_fifo_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:112$3977 ($add).
  9148. Removed top 23 bits (of 32) from port Y of cell top.$flatten\uart_I.\uart_rx_fifo_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:112$3977 ($add).
  9149. Removed top 21 bits (of 32) from port A of cell top.$flatten\uart_I.\uart_rx_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:79$4417 ($sub).
  9150. Removed top 31 bits (of 32) from port B of cell top.$flatten\uart_I.\uart_rx_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:79$4417 ($sub).
  9151. Removed top 20 bits (of 32) from port Y of cell top.$flatten\uart_I.\uart_rx_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:79$4417 ($sub).
  9152. Removed top 31 bits (of 32) from port B of cell top.$flatten\uart_I.\uart_rx_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:83$4418 ($sub).
  9153. Removed top 19 bits (of 32) from port Y of cell top.$flatten\uart_I.\uart_rx_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:83$4418 ($sub).
  9154. Removed top 31 bits (of 32) from port B of cell top.$flatten\uart_I.\uart_rx_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:92$4421 ($sub).
  9155. Removed top 27 bits (of 32) from port Y of cell top.$flatten\uart_I.\uart_rx_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:92$4421 ($sub).
  9156. Removed top 1 bits (of 10) from port B of cell top.$flatten\uart_I.\uart_tx_fifo_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:81$3964 ($eq).
  9157. Removed top 31 bits (of 32) from port B of cell top.$flatten\uart_I.\uart_tx_fifo_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:99$3975 ($add).
  9158. Removed top 23 bits (of 32) from port Y of cell top.$flatten\uart_I.\uart_tx_fifo_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:99$3975 ($add).
  9159. Removed top 31 bits (of 32) from port B of cell top.$flatten\uart_I.\uart_tx_fifo_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:112$3977 ($add).
  9160. Removed top 23 bits (of 32) from port Y of cell top.$flatten\uart_I.\uart_tx_fifo_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:112$3977 ($add).
  9161. Removed top 31 bits (of 32) from port B of cell top.$flatten\uart_I.\uart_tx_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:46$4436 ($sub).
  9162. Removed top 19 bits (of 32) from port Y of cell top.$flatten\uart_I.\uart_tx_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:46$4436 ($sub).
  9163. Removed top 31 bits (of 32) from port B of cell top.$flatten\uart_I.\uart_tx_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:55$4439 ($sub).
  9164. Removed top 27 bits (of 32) from port Y of cell top.$flatten\uart_I.\uart_tx_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:55$4439 ($sub).
  9165. Removed top 1 bits (of 13) from mux cell top.$flatten\uart_I.\uart_tx_I.$procmux$5036 ($mux).
  9166. Removed top 1 bits (of 5) from FF cell top.$auto$ff.cc:266:slice$21419 ($adffe).
  9167. Removed top 24 bits (of 32) from mux cell top.$flatten\uart_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:189$2972 ($mux).
  9168. Removed top 1 bits (of 2) from port B of cell top.$flatten\uart_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_wb.v:172$2951 ($eq).
  9169. Removed top 1 bits (of 52) from port A of cell top.$flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2461$1981 ($add).
  9170. Removed top 2 bits (of 34) from FF cell top.$auto$ff.cc:266:slice$21581 ($dffe).
  9171. Removed top 1 bits (of 3) from mux cell top.$flatten\cache_bus_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:148$3393 ($mux).
  9172. Removed top 2 bits (of 34) from port Y of cell top.$flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2499$1996 ($mul).
  9173. Removed top 24 bits (of 32) from wire top.$flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:33$3349_EN[31:0]$3356.
  9174. Removed top 16 bits (of 32) from wire top.$flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:34$3350_EN[31:0]$3359.
  9175. Removed top 8 bits (of 32) from wire top.$flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:35$3351_EN[31:0]$3362.
  9176. Removed top 1 bits (of 2) from wire top.$flatten\cache_I.$1\ev_way[1:0].
  9177. Removed top 1 bits (of 2) from wire top.$flatten\cache_I.$1\lu_hit_way[1:0].
  9178. Removed top 29 bits (of 32) from wire top.$flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:224$4525_Y.
  9179. Removed top 30 bits (of 32) from wire top.$flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:410$4580_Y.
  9180. Removed top 30 bits (of 32) from wire top.$flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:410$4583_Y.
  9181. Removed top 30 bits (of 32) from wire top.$flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:410$4586_Y.
  9182. Removed top 2 bits (of 3) from wire top.$flatten\cache_bus_I.$eq$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:224$3418_Y.
  9183. Removed top 2 bits (of 3) from wire top.$flatten\cache_bus_I.$or$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:224$3419_Y.
  9184. Removed top 31 bits (of 32) from wire top.$flatten\cache_bus_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:146$3391_Y.
  9185. Removed top 30 bits (of 32) from wire top.$flatten\cache_bus_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:148$3392_Y.
  9186. Removed top 30 bits (of 32) from wire top.$flatten\cache_bus_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:148$3393_Y.
  9187. Removed top 29 bits (of 32) from wire top.$flatten\cache_bus_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:156$3394_Y.
  9188. Removed top 1 bits (of 33) from wire top.$flatten\cpu_I.$0\memory_DivPlugin_rs1[32:0].
  9189. Removed top 1 bits (of 33) from wire top.$flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4655$2454_Y.
  9190. Removed top 1 bits (of 33) from wire top.$flatten\cpu_I.$not$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4655$2452_Y.
  9191. Removed top 19 bits (of 32) from wire top.$flatten\cpu_I.$or$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4253$2370_Y.
  9192. Removed top 1 bits (of 33) from wire top.$flatten\cpu_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4655$2453_Y.
  9193. Removed top 24 bits (of 32) from wire top.$flatten\memctrl_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:510$3050_Y.
  9194. Removed top 16 bits (of 32) from wire top.$flatten\memctrl_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:377$3026_Y.
  9195. Removed top 19 bits (of 32) from wire top.$flatten\uart_I.\uart_rx_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:79$4417_Y.
  9196. Removed top 19 bits (of 32) from wire top.$flatten\uart_I.\uart_rx_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:83$4418_Y.
  9197. Removed top 27 bits (of 32) from wire top.$flatten\uart_I.\uart_rx_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:92$4421_Y.
  9198. Removed top 23 bits (of 32) from wire top.$flatten\uart_I.\uart_rx_fifo_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:112$3977_Y.
  9199. Removed top 1 bits (of 13) from wire top.$flatten\uart_I.\uart_tx_I.$0\div_cnt[12:0].
  9200. Removed top 19 bits (of 32) from wire top.$flatten\uart_I.\uart_tx_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:46$4436_Y.
  9201. Removed top 27 bits (of 32) from wire top.$flatten\uart_I.\uart_tx_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:55$4439_Y.
  9202. Removed top 23 bits (of 32) from wire top.$flatten\uart_I.\uart_tx_fifo_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:112$3977_Y.
  9203. Removed top 28 bits (of 32) from wire top.$flatten\uart_I.\uart_tx_fifo_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:99$3975_Y.
  9204. Removed top 15 bits (of 16) from wire top.$flatten\vid_I.$and$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:182$1626_Y.
  9205. Removed top 7 bits (of 16) from wire top.$flatten\vid_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:236$1634_Y.
  9206. Removed top 8 bits (of 32) from wire top.$flatten\vid_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:255$1645_Y.
  9207. Removed top 22 bits (of 32) from wire top.wb_rdata[1].
  9208. Removed top 32 bits (of 128) from wire top.wb_rdata_flat.
  9209. 63.14. Executing PEEPOPT pass (run peephole optimizers).
  9210. 63.15. Executing OPT_CLEAN pass (remove unused cells and wires).
  9211. Finding unused cells or wires in module \top..
  9212. Removed 1 unused cells and 38 unused wires.
  9213. <suppressed ~2 debug messages>
  9214. 63.16. Executing SHARE pass (SAT-based resource sharing).
  9215. 63.17. Executing TECHMAP pass (map to technology primitives).
  9216. 63.17.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/cmp2lut.v
  9217. Parsing Verilog input from `/usr/bin/../share/yosys/cmp2lut.v' to AST representation.
  9218. Generating RTLIL representation for module `\_90_lut_cmp_'.
  9219. Successfully finished Verilog frontend.
  9220. 63.17.2. Continuing TECHMAP pass.
  9221. No more expansions possible.
  9222. <suppressed ~140 debug messages>
  9223. 63.18. Executing OPT_EXPR pass (perform const folding).
  9224. Optimizing module top.
  9225. 63.19. Executing OPT_CLEAN pass (remove unused cells and wires).
  9226. Finding unused cells or wires in module \top..
  9227. 63.20. Executing MEMORY_DFF pass (merging $dff cells to $memrd).
  9228. Checking read port `$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698'[0] in module `\top': merging output FF to cell.
  9229. Checking read port `\bram_I.mem'[0] in module `\top': merging output FF to cell.
  9230. Write port 0: don't care on collision.
  9231. Write port 1: don't care on collision.
  9232. Write port 2: don't care on collision.
  9233. Write port 3: don't care on collision.
  9234. Checking read port `\cpu_I.IBusCachedPlugin_cache.ways_0_datas'[0] in module `\top': merging output FF to cell.
  9235. Write port 0: non-transparent.
  9236. Checking read port `\cpu_I.IBusCachedPlugin_cache.ways_0_tags'[0] in module `\top': merging output FF to cell.
  9237. Write port 0: non-transparent.
  9238. Checking read port `\cpu_I.RegFilePlugin_regFile'[0] in module `\top': merging output FF to cell.
  9239. Write port 0: non-transparent.
  9240. Checking read port `\cpu_I.RegFilePlugin_regFile'[1] in module `\top': merging output FF to cell.
  9241. Write port 0: non-transparent.
  9242. Checking read port `\sys_mgr_I.crg_I.rst_cnt_nxt'[0] in module `\top': merging output FF to cell.
  9243. Checking read port `\uart_I.uart_rx_fifo_I.ram_I.ram'[0] in module `\top': merging output FF to cell.
  9244. Write port 0: don't care on collision.
  9245. Checking read port `\uart_I.uart_tx_fifo_I.ram_I.ram'[0] in module `\top': merging output FF to cell.
  9246. Write port 0: don't care on collision.
  9247. 63.21. Executing WREDUCE pass (reducing word size of cells).
  9248. 63.22. Executing TECHMAP pass (map to technology primitives).
  9249. 63.22.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/mul2dsp.v
  9250. Parsing Verilog input from `/usr/bin/../share/yosys/mul2dsp.v' to AST representation.
  9251. Generating RTLIL representation for module `\_80_mul'.
  9252. Generating RTLIL representation for module `\_90_soft_mul'.
  9253. Successfully finished Verilog frontend.
  9254. 63.22.2. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/ice40/dsp_map.v
  9255. Parsing Verilog input from `/usr/bin/../share/yosys/ice40/dsp_map.v' to AST representation.
  9256. Generating RTLIL representation for module `\$__MUL16X16'.
  9257. Successfully finished Verilog frontend.
  9258. 63.22.3. Continuing TECHMAP pass.
  9259. Using template $paramod$db92b6ce7390ae2cad7a93c07bad8126ba118608\_80_mul for cells of type $mul.
  9260. Using template $paramod$0918209bb5c6f08b2ecd7ae36d3d7f82c80ec9f5\_80_mul for cells of type $mul.
  9261. Using template $paramod$0910e2344b2d36624760245c86bb61f4bc3ddcd6\_80_mul for cells of type $mul.
  9262. Using template $paramod$89b47b3b03079ae827ef72992fb433a02767e696\_80_mul for cells of type $__mul.
  9263. Using template $paramod$a19312d7f427efc0aa1e2a110ea5a3ab36da06e8\_90_soft_mul for cells of type $__mul.
  9264. Using template $paramod$e0bf24ff28f216f530a4f8f71562f6bd1c62dd0a\_80_mul for cells of type $__mul.
  9265. Using template $paramod$883b16feea3db6c21c52460379fab8bbe7f97d65\$__MUL16X16 for cells of type $__MUL16X16.
  9266. Using template $paramod$92398c55cd62669b28876c227b4269e3610ebe7b\_80_mul for cells of type $__mul.
  9267. Using template $paramod$04102eddbb25e3003f2ea9d39f38ce53d47fc2f5\_90_soft_mul for cells of type $__mul.
  9268. No more expansions possible.
  9269. <suppressed ~622 debug messages>
  9270. 63.23. Executing OPT_EXPR pass (perform const folding).
  9271. Optimizing module top.
  9272. <suppressed ~6 debug messages>
  9273. 63.24. Executing WREDUCE pass (reducing word size of cells).
  9274. Removed top 1 bits (of 17) from port B of cell top.$techmap$flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2451$1978.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA[0].mul.$add$/usr/bin/../share/yosys/mul2dsp.v:230$21891 ($add).
  9275. Removed top 1 bits (of 18) from port B of cell top.$techmap$flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2451$1978.$add$/usr/bin/../share/yosys/mul2dsp.v:173$21888 ($add).
  9276. Removed top 1 bits (of 18) from port B of cell top.$techmap$flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2477$1990.$add$/usr/bin/../share/yosys/mul2dsp.v:173$21888 ($add).
  9277. Removed top 1 bits (of 17) from port B of cell top.$techmap$flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2477$1990.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA[0].mul.$add$/usr/bin/../share/yosys/mul2dsp.v:230$21891 ($add).
  9278. 63.25. Executing ICE40_DSP pass (map multipliers).
  9279. Checking top.$flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2451$1978.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA[0].mul.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.sliceB[0].mul for iCE40 DSP inference.
  9280. clock: \bram_I.clk (posedge) ffA:$auto$ff.cc:266:slice$21580 ffB:$auto$ff.cc:266:slice$21539 ffO:$auto$ff.cc:266:slice$21533
  9281. Checking top.$flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2499$1996.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA[0].mul.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.sliceB[0].mul for iCE40 DSP inference.
  9282. clock: \bram_I.clk (posedge) ffA:$auto$ff.cc:266:slice$21580 ffB:$auto$ff.cc:266:slice$21539 ffO:$auto$ff.cc:266:slice$21581
  9283. Checking top.$flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2477$1990.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA[0].mul.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.sliceB[0].mul for iCE40 DSP inference.
  9284. clock: \bram_I.clk (posedge) ffA:$auto$ff.cc:266:slice$21580 ffB:$auto$ff.cc:266:slice$21539 ffO:$auto$ff.cc:266:slice$21559
  9285. Checking top.$flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2490$1992 for iCE40 DSP inference.
  9286. clock: \bram_I.clk (posedge) ffA:$auto$ff.cc:266:slice$21580 ffB:$auto$ff.cc:266:slice$21539 ffO:$auto$ff.cc:266:slice$21571
  9287. <suppressed ~40 debug messages>
  9288. 63.26. Executing ALUMACC pass (create $alu and $macc cells).
  9289. Extracting $alu and $macc cells in module top:
  9290. creating $macc model for $techmap$flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2477$1990.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA[0].mul.$add$/usr/bin/../share/yosys/mul2dsp.v:230$21891 ($add).
  9291. creating $macc model for $flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2499$1996.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA.last ($mul).
  9292. creating $macc model for $techmap$flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2499$1996.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA[0].mul.$add$/usr/bin/../share/yosys/mul2dsp.v:230$21895 ($add).
  9293. creating $macc model for $flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2451$1978.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA.last ($mul).
  9294. creating $macc model for $techmap$flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2451$1978.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA[0].mul.$add$/usr/bin/../share/yosys/mul2dsp.v:230$21891 ($add).
  9295. creating $macc model for $flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2499$1996.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA[0].mul.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.mul_sliceB_last ($mul).
  9296. creating $macc model for $techmap$flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2451$1978.$add$/usr/bin/../share/yosys/mul2dsp.v:173$21888 ($add).
  9297. creating $macc model for $techmap$flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2477$1990.$add$/usr/bin/../share/yosys/mul2dsp.v:173$21888 ($add).
  9298. creating $macc model for $flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2477$1990.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA.last ($mul).
  9299. creating $macc model for $techmap$flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2499$1996.$add$/usr/bin/../share/yosys/mul2dsp.v:173$21884 ($add).
  9300. creating $macc model for $flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:224$4525 ($add).
  9301. creating $macc model for $flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:410$4580 ($add).
  9302. creating $macc model for $flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:410$4583 ($add).
  9303. creating $macc model for $flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:410$4586 ($add).
  9304. creating $macc model for $flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:410$4589 ($add).
  9305. creating $macc model for $flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:420$4592 ($add).
  9306. creating $macc model for $flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:420$4595 ($add).
  9307. creating $macc model for $flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:420$4598 ($add).
  9308. creating $macc model for $flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:420$4601 ($add).
  9309. creating $macc model for $flatten\cache_bus_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:224$3420 ($add).
  9310. creating $macc model for $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1456$1823 ($add).
  9311. creating $macc model for $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1498$1831 ($add).
  9312. creating $macc model for $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1499$1832 ($add).
  9313. creating $macc model for $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1527$1840 ($add).
  9314. creating $macc model for $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2461$1981 ($add).
  9315. creating $macc model for $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2947$2077 ($add).
  9316. creating $macc model for $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3156$2122 ($add).
  9317. creating $macc model for $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3860$2234 ($add).
  9318. creating $macc model for $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4097$2290 ($add).
  9319. creating $macc model for $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4121$2296 ($add).
  9320. creating $macc model for $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4655$2454 ($add).
  9321. creating $macc model for $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4656$2457 ($add).
  9322. creating $macc model for $flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2451$1978.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA[0].mul.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.mul_sliceB_last ($mul).
  9323. creating $macc model for $flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2477$1990.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA[0].mul.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.mul_sliceB_last ($mul).
  9324. creating $macc model for $flatten\cpu_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1482$1830 ($sub).
  9325. creating $macc model for $flatten\cpu_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4130$2297 ($sub).
  9326. creating $macc model for $flatten\cpu_I.\IBusCachedPlugin_cache.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:294$1775 ($add).
  9327. creating $macc model for $flatten\cpu_I.\IBusCachedPlugin_cache.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:307$1777 ($add).
  9328. creating $macc model for $flatten\memctrl_I.$neg$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:0$3194 ($neg).
  9329. creating $macc model for $flatten\memctrl_I.$neg$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:0$3203 ($neg).
  9330. creating $macc model for $flatten\memctrl_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:510$3050 ($sub).
  9331. creating $macc model for $flatten\memctrl_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:517$3053 ($sub).
  9332. creating $macc model for $flatten\memctrl_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:695$3084 ($sub).
  9333. creating $macc model for $flatten\memctrl_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:723$3121 ($sub).
  9334. creating $macc model for $flatten\sys_mgr_I.\crg_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:78$4055 ($add).
  9335. creating $macc model for $flatten\uart_I.\uart_rx_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:79$4417 ($sub).
  9336. creating $macc model for $flatten\uart_I.\uart_rx_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:83$4418 ($sub).
  9337. creating $macc model for $flatten\uart_I.\uart_rx_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:92$4421 ($sub).
  9338. creating $macc model for $flatten\uart_I.\uart_rx_I.\genblk1.gf_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/glitch_filter.v:74$3502 ($add).
  9339. creating $macc model for $flatten\uart_I.\uart_rx_fifo_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:112$3977 ($add).
  9340. creating $macc model for $flatten\uart_I.\uart_rx_fifo_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:71$3960 ($add).
  9341. creating $macc model for $flatten\uart_I.\uart_rx_fifo_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:99$3975 ($add).
  9342. creating $macc model for $flatten\uart_I.\uart_tx_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:46$4436 ($sub).
  9343. creating $macc model for $flatten\uart_I.\uart_tx_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:55$4439 ($sub).
  9344. creating $macc model for $flatten\uart_I.\uart_tx_fifo_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:112$3977 ($add).
  9345. creating $macc model for $flatten\uart_I.\uart_tx_fifo_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:71$3960 ($add).
  9346. creating $macc model for $flatten\uart_I.\uart_tx_fifo_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:99$3975 ($add).
  9347. creating $macc model for $flatten\vid_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:182$1627 ($add).
  9348. creating $macc model for $flatten\vid_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:236$1635 ($add).
  9349. creating $macc model for $flatten\vid_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:243$1638 ($add).
  9350. creating $macc model for $flatten\vid_I.\tgen_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:106$3934 ($add).
  9351. creating $macc model for $flatten\vid_I.\tgen_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:145$3943 ($add).
  9352. creating $macc model for $flatten\vid_I.\tgen_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:128$3937 ($sub).
  9353. creating $macc model for $flatten\vid_I.\tgen_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:93$3931 ($sub).
  9354. merging $macc model for $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1456$1823 into $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2461$1981.
  9355. merging $macc model for $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1499$1832 into $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1498$1831.
  9356. merging $macc model for $techmap$flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2499$1996.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA[0].mul.$add$/usr/bin/../share/yosys/mul2dsp.v:230$21895 into $techmap$flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2499$1996.$add$/usr/bin/../share/yosys/mul2dsp.v:173$21884.
  9357. merging $macc model for $flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2477$1990.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA.last into $techmap$flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2477$1990.$add$/usr/bin/../share/yosys/mul2dsp.v:173$21888.
  9358. merging $macc model for $flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2451$1978.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA.last into $techmap$flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2451$1978.$add$/usr/bin/../share/yosys/mul2dsp.v:173$21888.
  9359. merging $macc model for $flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2451$1978.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA[0].mul.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.mul_sliceB_last into $techmap$flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2451$1978.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA[0].mul.$add$/usr/bin/../share/yosys/mul2dsp.v:230$21891.
  9360. merging $macc model for $flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2477$1990.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA[0].mul.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.mul_sliceB_last into $techmap$flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2477$1990.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA[0].mul.$add$/usr/bin/../share/yosys/mul2dsp.v:230$21891.
  9361. creating $alu model for $macc $flatten\uart_I.\uart_tx_fifo_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:99$3975.
  9362. creating $alu model for $macc $flatten\uart_I.\uart_tx_fifo_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:71$3960.
  9363. creating $alu model for $macc $flatten\uart_I.\uart_tx_fifo_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:112$3977.
  9364. creating $alu model for $macc $flatten\uart_I.\uart_tx_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:55$4439.
  9365. creating $alu model for $macc $flatten\uart_I.\uart_tx_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:46$4436.
  9366. creating $alu model for $macc $flatten\uart_I.\uart_rx_fifo_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:99$3975.
  9367. creating $alu model for $macc $flatten\uart_I.\uart_rx_fifo_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:71$3960.
  9368. creating $alu model for $macc $flatten\uart_I.\uart_rx_fifo_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:112$3977.
  9369. creating $alu model for $macc $flatten\uart_I.\uart_rx_I.\genblk1.gf_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/glitch_filter.v:74$3502.
  9370. creating $alu model for $macc $flatten\uart_I.\uart_rx_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:92$4421.
  9371. creating $alu model for $macc $flatten\uart_I.\uart_rx_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:83$4418.
  9372. creating $alu model for $macc $flatten\uart_I.\uart_rx_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:79$4417.
  9373. creating $alu model for $macc $flatten\sys_mgr_I.\crg_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:78$4055.
  9374. creating $alu model for $macc $flatten\memctrl_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:723$3121.
  9375. creating $alu model for $macc $flatten\memctrl_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:695$3084.
  9376. creating $alu model for $macc $flatten\memctrl_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:517$3053.
  9377. creating $alu model for $macc $flatten\memctrl_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:510$3050.
  9378. creating $alu model for $macc $flatten\memctrl_I.$neg$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:0$3203.
  9379. creating $alu model for $macc $flatten\memctrl_I.$neg$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:0$3194.
  9380. creating $alu model for $macc $flatten\cpu_I.\IBusCachedPlugin_cache.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:307$1777.
  9381. creating $alu model for $macc $flatten\cpu_I.\IBusCachedPlugin_cache.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:294$1775.
  9382. creating $alu model for $macc $flatten\cpu_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4130$2297.
  9383. creating $alu model for $macc $flatten\cpu_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1482$1830.
  9384. creating $alu model for $macc $flatten\vid_I.\tgen_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:93$3931.
  9385. creating $alu model for $macc $flatten\vid_I.\tgen_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:128$3937.
  9386. creating $alu model for $macc $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4656$2457.
  9387. creating $alu model for $macc $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4655$2454.
  9388. creating $alu model for $macc $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4121$2296.
  9389. creating $alu model for $macc $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4097$2290.
  9390. creating $alu model for $macc $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3860$2234.
  9391. creating $alu model for $macc $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3156$2122.
  9392. creating $alu model for $macc $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2947$2077.
  9393. creating $alu model for $macc $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1527$1840.
  9394. creating $alu model for $macc $flatten\vid_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:236$1635.
  9395. creating $alu model for $macc $flatten\vid_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:182$1627.
  9396. creating $alu model for $macc $flatten\cache_bus_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:224$3420.
  9397. creating $alu model for $macc $flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:420$4601.
  9398. creating $alu model for $macc $flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:420$4598.
  9399. creating $alu model for $macc $flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:420$4595.
  9400. creating $alu model for $macc $flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:420$4592.
  9401. creating $alu model for $macc $flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:410$4589.
  9402. creating $alu model for $macc $flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:410$4586.
  9403. creating $alu model for $macc $flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:410$4583.
  9404. creating $alu model for $macc $flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:410$4580.
  9405. creating $alu model for $macc $flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:224$4525.
  9406. creating $alu model for $macc $flatten\vid_I.\tgen_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:106$3934.
  9407. creating $alu model for $macc $flatten\vid_I.\tgen_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:145$3943.
  9408. creating $alu model for $macc $flatten\vid_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:243$1638.
  9409. creating $macc cell for $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1498$1831: $auto$alumacc.cc:365:replace_macc$21924
  9410. creating $macc cell for $techmap$flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2477$1990.$add$/usr/bin/../share/yosys/mul2dsp.v:173$21888: $auto$alumacc.cc:365:replace_macc$21925
  9411. creating $macc cell for $techmap$flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2451$1978.$add$/usr/bin/../share/yosys/mul2dsp.v:173$21888: $auto$alumacc.cc:365:replace_macc$21926
  9412. creating $macc cell for $flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2499$1996.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA[0].mul.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.mul_sliceB_last: $auto$alumacc.cc:365:replace_macc$21927
  9413. creating $macc cell for $techmap$flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2451$1978.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA[0].mul.$add$/usr/bin/../share/yosys/mul2dsp.v:230$21891: $auto$alumacc.cc:365:replace_macc$21928
  9414. creating $macc cell for $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2461$1981: $auto$alumacc.cc:365:replace_macc$21929
  9415. creating $macc cell for $techmap$flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2499$1996.$add$/usr/bin/../share/yosys/mul2dsp.v:173$21884: $auto$alumacc.cc:365:replace_macc$21930
  9416. creating $macc cell for $flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2499$1996.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA.last: $auto$alumacc.cc:365:replace_macc$21931
  9417. creating $macc cell for $techmap$flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2477$1990.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA[0].mul.$add$/usr/bin/../share/yosys/mul2dsp.v:230$21891: $auto$alumacc.cc:365:replace_macc$21932
  9418. creating $alu model for $flatten\cache_I.$lt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:409$4579 ($lt): new $alu
  9419. creating $alu model for $flatten\cache_I.$lt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:409$4582 ($lt): new $alu
  9420. creating $alu model for $flatten\cache_I.$lt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:409$4585 ($lt): new $alu
  9421. creating $alu model for $flatten\cache_I.$lt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:409$4588 ($lt): new $alu
  9422. creating $alu model for $flatten\memctrl_I.$ge$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:723$3122 ($ge): new $alu
  9423. creating $alu model for $flatten\memctrl_I.$ge$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:723$3126 ($ge): new $alu
  9424. creating $alu model for $flatten\memctrl_I.$ge$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:723$3130 ($ge): new $alu
  9425. creating $alu model for $flatten\memctrl_I.$ge$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:723$3134 ($ge): new $alu
  9426. creating $alu cell for $flatten\memctrl_I.$ge$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:723$3134: $auto$alumacc.cc:485:replace_alu$21941
  9427. creating $alu cell for $flatten\memctrl_I.$ge$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:723$3130: $auto$alumacc.cc:485:replace_alu$21954
  9428. creating $alu cell for $flatten\memctrl_I.$ge$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:723$3126: $auto$alumacc.cc:485:replace_alu$21967
  9429. creating $alu cell for $flatten\memctrl_I.$ge$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:723$3122: $auto$alumacc.cc:485:replace_alu$21980
  9430. creating $alu cell for $flatten\cache_I.$lt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:409$4588: $auto$alumacc.cc:485:replace_alu$21993
  9431. creating $alu cell for $flatten\cache_I.$lt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:409$4585: $auto$alumacc.cc:485:replace_alu$22004
  9432. creating $alu cell for $flatten\cache_I.$lt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:409$4582: $auto$alumacc.cc:485:replace_alu$22015
  9433. creating $alu cell for $flatten\cache_I.$lt$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:409$4579: $auto$alumacc.cc:485:replace_alu$22026
  9434. creating $alu cell for $flatten\vid_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:243$1638: $auto$alumacc.cc:485:replace_alu$22037
  9435. creating $alu cell for $flatten\vid_I.\tgen_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:145$3943: $auto$alumacc.cc:485:replace_alu$22040
  9436. creating $alu cell for $flatten\vid_I.\tgen_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:106$3934: $auto$alumacc.cc:485:replace_alu$22043
  9437. creating $alu cell for $flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:224$4525: $auto$alumacc.cc:485:replace_alu$22046
  9438. creating $alu cell for $flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:410$4580: $auto$alumacc.cc:485:replace_alu$22049
  9439. creating $alu cell for $flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:410$4583: $auto$alumacc.cc:485:replace_alu$22052
  9440. creating $alu cell for $flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:410$4586: $auto$alumacc.cc:485:replace_alu$22055
  9441. creating $alu cell for $flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:410$4589: $auto$alumacc.cc:485:replace_alu$22058
  9442. creating $alu cell for $flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:420$4592: $auto$alumacc.cc:485:replace_alu$22061
  9443. creating $alu cell for $flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:420$4595: $auto$alumacc.cc:485:replace_alu$22064
  9444. creating $alu cell for $flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:420$4598: $auto$alumacc.cc:485:replace_alu$22067
  9445. creating $alu cell for $flatten\cache_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_core.v:420$4601: $auto$alumacc.cc:485:replace_alu$22070
  9446. creating $alu cell for $flatten\cache_bus_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:224$3420: $auto$alumacc.cc:485:replace_alu$22073
  9447. creating $alu cell for $flatten\vid_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:182$1627: $auto$alumacc.cc:485:replace_alu$22076
  9448. creating $alu cell for $flatten\vid_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:236$1635: $auto$alumacc.cc:485:replace_alu$22079
  9449. creating $alu cell for $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1527$1840: $auto$alumacc.cc:485:replace_alu$22082
  9450. creating $alu cell for $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2947$2077: $auto$alumacc.cc:485:replace_alu$22085
  9451. creating $alu cell for $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3156$2122: $auto$alumacc.cc:485:replace_alu$22088
  9452. creating $alu cell for $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3860$2234: $auto$alumacc.cc:485:replace_alu$22091
  9453. creating $alu cell for $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4097$2290: $auto$alumacc.cc:485:replace_alu$22094
  9454. creating $alu cell for $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4121$2296: $auto$alumacc.cc:485:replace_alu$22097
  9455. creating $alu cell for $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4655$2454: $auto$alumacc.cc:485:replace_alu$22100
  9456. creating $alu cell for $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4656$2457: $auto$alumacc.cc:485:replace_alu$22103
  9457. creating $alu cell for $flatten\vid_I.\tgen_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:128$3937: $auto$alumacc.cc:485:replace_alu$22106
  9458. creating $alu cell for $flatten\vid_I.\tgen_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/video//rtl/vid_tgen.v:93$3931: $auto$alumacc.cc:485:replace_alu$22109
  9459. creating $alu cell for $flatten\cpu_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:1482$1830: $auto$alumacc.cc:485:replace_alu$22112
  9460. creating $alu cell for $flatten\cpu_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:4130$2297: $auto$alumacc.cc:485:replace_alu$22115
  9461. creating $alu cell for $flatten\cpu_I.\IBusCachedPlugin_cache.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:294$1775: $auto$alumacc.cc:485:replace_alu$22118
  9462. creating $alu cell for $flatten\cpu_I.\IBusCachedPlugin_cache.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:307$1777: $auto$alumacc.cc:485:replace_alu$22121
  9463. creating $alu cell for $flatten\memctrl_I.$neg$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:0$3194: $auto$alumacc.cc:485:replace_alu$22124
  9464. creating $alu cell for $flatten\memctrl_I.$neg$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:0$3203: $auto$alumacc.cc:485:replace_alu$22127
  9465. creating $alu cell for $flatten\memctrl_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:510$3050: $auto$alumacc.cc:485:replace_alu$22130
  9466. creating $alu cell for $flatten\memctrl_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:517$3053: $auto$alumacc.cc:485:replace_alu$22133
  9467. creating $alu cell for $flatten\memctrl_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:695$3084: $auto$alumacc.cc:485:replace_alu$22136
  9468. creating $alu cell for $flatten\memctrl_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:723$3121: $auto$alumacc.cc:485:replace_alu$22139
  9469. creating $alu cell for $flatten\sys_mgr_I.\crg_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2ice40//rtl/ice40_serdes_crg.v:78$4055: $auto$alumacc.cc:485:replace_alu$22142
  9470. creating $alu cell for $flatten\uart_I.\uart_rx_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:79$4417: $auto$alumacc.cc:485:replace_alu$22145
  9471. creating $alu cell for $flatten\uart_I.\uart_rx_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:83$4418: $auto$alumacc.cc:485:replace_alu$22148
  9472. creating $alu cell for $flatten\uart_I.\uart_rx_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_rx.v:92$4421: $auto$alumacc.cc:485:replace_alu$22151
  9473. creating $alu cell for $flatten\uart_I.\uart_rx_I.\genblk1.gf_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/glitch_filter.v:74$3502: $auto$alumacc.cc:485:replace_alu$22154
  9474. creating $alu cell for $flatten\uart_I.\uart_rx_fifo_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:112$3977: $auto$alumacc.cc:485:replace_alu$22157
  9475. creating $alu cell for $flatten\uart_I.\uart_rx_fifo_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:71$3960: $auto$alumacc.cc:485:replace_alu$22160
  9476. creating $alu cell for $flatten\uart_I.\uart_rx_fifo_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:99$3975: $auto$alumacc.cc:485:replace_alu$22163
  9477. creating $alu cell for $flatten\uart_I.\uart_tx_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:46$4436: $auto$alumacc.cc:485:replace_alu$22166
  9478. creating $alu cell for $flatten\uart_I.\uart_tx_I.$sub$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/uart_tx.v:55$4439: $auto$alumacc.cc:485:replace_alu$22169
  9479. creating $alu cell for $flatten\uart_I.\uart_tx_fifo_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:112$3977: $auto$alumacc.cc:485:replace_alu$22172
  9480. creating $alu cell for $flatten\uart_I.\uart_tx_fifo_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:71$3960: $auto$alumacc.cc:485:replace_alu$22175
  9481. creating $alu cell for $flatten\uart_I.\uart_tx_fifo_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2misc//rtl/fifo_sync_ram.v:99$3975: $auto$alumacc.cc:485:replace_alu$22178
  9482. created 56 $alu and 9 $macc cells.
  9483. 63.27. Executing OPT pass (performing simple optimizations).
  9484. 63.27.1. Executing OPT_EXPR pass (perform const folding).
  9485. Optimizing module top.
  9486. <suppressed ~6 debug messages>
  9487. 63.27.2. Executing OPT_MERGE pass (detect identical cells).
  9488. Finding identical cells in module `\top'.
  9489. <suppressed ~3 debug messages>
  9490. Removed a total of 1 cells.
  9491. 63.27.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
  9492. Running muxtree optimizer on module \top..
  9493. Creating internal representation of mux trees.
  9494. Evaluating internal representation of mux trees.
  9495. Analyzing evaluation results.
  9496. Removed 0 multiplexer ports.
  9497. <suppressed ~228 debug messages>
  9498. 63.27.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  9499. Optimizing cells in module \top.
  9500. Performed a total of 0 changes.
  9501. 63.27.5. Executing OPT_MERGE pass (detect identical cells).
  9502. Finding identical cells in module `\top'.
  9503. Removed a total of 0 cells.
  9504. 63.27.6. Executing OPT_DFF pass (perform DFF optimizations).
  9505. Setting constant 0-bit at position 8 on $auto$ff.cc:266:slice$21417 ($sdff) from module top.
  9506. Setting constant 0-bit at position 9 on $auto$ff.cc:266:slice$21417 ($sdff) from module top.
  9507. Setting constant 0-bit at position 10 on $auto$ff.cc:266:slice$21417 ($sdff) from module top.
  9508. Setting constant 0-bit at position 11 on $auto$ff.cc:266:slice$21417 ($sdff) from module top.
  9509. Setting constant 0-bit at position 12 on $auto$ff.cc:266:slice$21417 ($sdff) from module top.
  9510. Setting constant 0-bit at position 13 on $auto$ff.cc:266:slice$21417 ($sdff) from module top.
  9511. Setting constant 0-bit at position 14 on $auto$ff.cc:266:slice$21417 ($sdff) from module top.
  9512. Setting constant 0-bit at position 15 on $auto$ff.cc:266:slice$21417 ($sdff) from module top.
  9513. Setting constant 0-bit at position 16 on $auto$ff.cc:266:slice$21417 ($sdff) from module top.
  9514. Setting constant 0-bit at position 17 on $auto$ff.cc:266:slice$21417 ($sdff) from module top.
  9515. Setting constant 0-bit at position 18 on $auto$ff.cc:266:slice$21417 ($sdff) from module top.
  9516. Setting constant 0-bit at position 19 on $auto$ff.cc:266:slice$21417 ($sdff) from module top.
  9517. Setting constant 0-bit at position 20 on $auto$ff.cc:266:slice$21417 ($sdff) from module top.
  9518. Setting constant 0-bit at position 21 on $auto$ff.cc:266:slice$21417 ($sdff) from module top.
  9519. Setting constant 0-bit at position 22 on $auto$ff.cc:266:slice$21417 ($sdff) from module top.
  9520. Setting constant 0-bit at position 23 on $auto$ff.cc:266:slice$21417 ($sdff) from module top.
  9521. 63.27.7. Executing OPT_CLEAN pass (remove unused cells and wires).
  9522. Finding unused cells or wires in module \top..
  9523. Removed 20 unused cells and 296 unused wires.
  9524. <suppressed ~23 debug messages>
  9525. 63.27.8. Executing OPT_EXPR pass (perform const folding).
  9526. Optimizing module top.
  9527. 63.27.9. Rerunning OPT passes. (Maybe there is more to do..)
  9528. 63.27.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
  9529. Running muxtree optimizer on module \top..
  9530. Creating internal representation of mux trees.
  9531. Evaluating internal representation of mux trees.
  9532. Analyzing evaluation results.
  9533. Removed 0 multiplexer ports.
  9534. <suppressed ~228 debug messages>
  9535. 63.27.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  9536. Optimizing cells in module \top.
  9537. Performed a total of 0 changes.
  9538. 63.27.12. Executing OPT_MERGE pass (detect identical cells).
  9539. Finding identical cells in module `\top'.
  9540. Removed a total of 0 cells.
  9541. 63.27.13. Executing OPT_DFF pass (perform DFF optimizations).
  9542. 63.27.14. Executing OPT_CLEAN pass (remove unused cells and wires).
  9543. Finding unused cells or wires in module \top..
  9544. 63.27.15. Executing OPT_EXPR pass (perform const folding).
  9545. Optimizing module top.
  9546. 63.27.16. Finished OPT passes. (There is nothing left to do.)
  9547. 63.28. Executing MEMORY pass.
  9548. 63.28.1. Executing OPT_MEM pass (optimize memories).
  9549. Performed a total of 96 transformations.
  9550. 63.28.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations).
  9551. Performed a total of 6 transformations.
  9552. 63.28.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths).
  9553. 63.28.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs).
  9554. 63.28.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd).
  9555. 63.28.6. Executing OPT_CLEAN pass (remove unused cells and wires).
  9556. Finding unused cells or wires in module \top..
  9557. 63.28.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells).
  9558. Consolidating write ports of memory top.bram_I.mem by address:
  9559. Merging ports 0, 1 (address \cache_I.req_addr_pre [7:0]).
  9560. Merging ports 0, 2 (address \cache_I.req_addr_pre [7:0]).
  9561. Merging ports 0, 3 (address \cache_I.req_addr_pre [7:0]).
  9562. Consolidating read ports of memory top.cpu_I.RegFilePlugin_regFile by address:
  9563. 63.28.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide).
  9564. Performed a total of 0 transformations.
  9565. 63.28.9. Executing OPT_CLEAN pass (remove unused cells and wires).
  9566. Finding unused cells or wires in module \top..
  9567. Removed 4 unused cells and 4 unused wires.
  9568. <suppressed ~5 debug messages>
  9569. 63.28.10. Executing MEMORY_COLLECT pass (generating $mem cells).
  9570. 63.29. Executing OPT_CLEAN pass (remove unused cells and wires).
  9571. Finding unused cells or wires in module \top..
  9572. 63.30. Executing MEMORY_LIBMAP pass (mapping memories to cells).
  9573. using FF mapping for memory top.$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698
  9574. mapping memory top.bram_I.mem via $__ICE40_RAM4K_
  9575. mapping memory top.cpu_I.IBusCachedPlugin_cache.ways_0_datas via $__ICE40_RAM4K_
  9576. mapping memory top.cpu_I.IBusCachedPlugin_cache.ways_0_tags via $__ICE40_RAM4K_
  9577. mapping memory top.cpu_I.RegFilePlugin_regFile via $__ICE40_RAM4K_
  9578. using FF mapping for memory top.sys_mgr_I.crg_I.rst_cnt_nxt
  9579. mapping memory top.uart_I.uart_rx_fifo_I.ram_I.ram via $__ICE40_RAM4K_
  9580. mapping memory top.uart_I.uart_tx_fifo_I.ram_I.ram via $__ICE40_RAM4K_
  9581. <suppressed ~519 debug messages>
  9582. 63.31. Executing TECHMAP pass (map to technology primitives).
  9583. 63.31.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/ice40/brams_map.v
  9584. Parsing Verilog input from `/usr/bin/../share/yosys/ice40/brams_map.v' to AST representation.
  9585. Generating RTLIL representation for module `\$__ICE40_RAM4K_'.
  9586. Successfully finished Verilog frontend.
  9587. 63.31.2. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/ice40/spram_map.v
  9588. Parsing Verilog input from `/usr/bin/../share/yosys/ice40/spram_map.v' to AST representation.
  9589. Generating RTLIL representation for module `\$__ICE40_SPRAM_'.
  9590. Successfully finished Verilog frontend.
  9591. 63.31.3. Continuing TECHMAP pass.
  9592. Using template $paramod$13b3947419e62b7bbba1b93c77e4155efbe69a94\$__ICE40_RAM4K_ for cells of type $__ICE40_RAM4K_.
  9593. Using template $paramod$a1f6b5309207cf102bfb625dccbd224ad06df61d\$__ICE40_RAM4K_ for cells of type $__ICE40_RAM4K_.
  9594. Using template $paramod$6cc8fd47caff289061963e34e1c9c65b14b0572b\$__ICE40_RAM4K_ for cells of type $__ICE40_RAM4K_.
  9595. Using template $paramod$263d2dc0491cea06b00e73804e105f483fcfc9bb\$__ICE40_RAM4K_ for cells of type $__ICE40_RAM4K_.
  9596. No more expansions possible.
  9597. <suppressed ~108 debug messages>
  9598. 63.32. Executing ICE40_BRAMINIT pass.
  9599. 63.33. Executing OPT pass (performing simple optimizations).
  9600. 63.33.1. Executing OPT_EXPR pass (perform const folding).
  9601. Optimizing module top.
  9602. <suppressed ~387 debug messages>
  9603. 63.33.2. Executing OPT_MERGE pass (detect identical cells).
  9604. Finding identical cells in module `\top'.
  9605. <suppressed ~21 debug messages>
  9606. Removed a total of 7 cells.
  9607. 63.33.3. Executing OPT_DFF pass (perform DFF optimizations).
  9608. Adding EN signal on $flatten\memctrl_I.$procdff$20654 ($dff) from module top (D = $flatten\memctrl_I.$0\so_cnt[5:0] [1:0], Q = \memctrl_I.so_cnt [1:0]).
  9609. Removing always-active EN on $auto$mem.cc:1146:emulate_transparency$22247 ($dffe) from module top.
  9610. Setting constant 1-bit at position 0 on $auto$ff.cc:266:slice$21616 ($dffe) from module top.
  9611. Setting constant 1-bit at position 1 on $auto$ff.cc:266:slice$21616 ($dffe) from module top.
  9612. Setting constant 0-bit at position 2 on $auto$ff.cc:266:slice$21616 ($dffe) from module top.
  9613. Setting constant 1-bit at position 3 on $auto$ff.cc:266:slice$21616 ($dffe) from module top.
  9614. 63.33.4. Executing OPT_CLEAN pass (remove unused cells and wires).
  9615. Finding unused cells or wires in module \top..
  9616. Removed 9 unused cells and 329 unused wires.
  9617. <suppressed ~10 debug messages>
  9618. 63.33.5. Rerunning OPT passes. (Removed registers in this run.)
  9619. 63.33.6. Executing OPT_EXPR pass (perform const folding).
  9620. Optimizing module top.
  9621. <suppressed ~3 debug messages>
  9622. 63.33.7. Executing OPT_MERGE pass (detect identical cells).
  9623. Finding identical cells in module `\top'.
  9624. Removed a total of 0 cells.
  9625. 63.33.8. Executing OPT_DFF pass (perform DFF optimizations).
  9626. Adding SRST signal on $auto$mem.cc:1625:emulate_read_first$22235 ($dff) from module top (D = \cpu_I._zz_169_, Q = $auto$mem.cc:1622:emulate_read_first$22232, rval = 1'1).
  9627. Setting constant 1-bit at position 0 on $auto$ff.cc:266:slice$21533 ($dffe) from module top.
  9628. Setting constant 1-bit at position 1 on $auto$ff.cc:266:slice$21533 ($dffe) from module top.
  9629. Setting constant 1-bit at position 2 on $auto$ff.cc:266:slice$21533 ($dffe) from module top.
  9630. Setting constant 1-bit at position 3 on $auto$ff.cc:266:slice$21533 ($dffe) from module top.
  9631. Setting constant 1-bit at position 4 on $auto$ff.cc:266:slice$21533 ($dffe) from module top.
  9632. Setting constant 1-bit at position 5 on $auto$ff.cc:266:slice$21533 ($dffe) from module top.
  9633. Setting constant 1-bit at position 6 on $auto$ff.cc:266:slice$21533 ($dffe) from module top.
  9634. Setting constant 1-bit at position 7 on $auto$ff.cc:266:slice$21533 ($dffe) from module top.
  9635. Setting constant 1-bit at position 8 on $auto$ff.cc:266:slice$21533 ($dffe) from module top.
  9636. Setting constant 1-bit at position 9 on $auto$ff.cc:266:slice$21533 ($dffe) from module top.
  9637. Setting constant 1-bit at position 10 on $auto$ff.cc:266:slice$21533 ($dffe) from module top.
  9638. Setting constant 1-bit at position 11 on $auto$ff.cc:266:slice$21533 ($dffe) from module top.
  9639. Setting constant 1-bit at position 12 on $auto$ff.cc:266:slice$21533 ($dffe) from module top.
  9640. Setting constant 1-bit at position 13 on $auto$ff.cc:266:slice$21533 ($dffe) from module top.
  9641. Setting constant 1-bit at position 14 on $auto$ff.cc:266:slice$21533 ($dffe) from module top.
  9642. Setting constant 1-bit at position 15 on $auto$ff.cc:266:slice$21533 ($dffe) from module top.
  9643. Setting constant 1-bit at position 0 on $auto$ff.cc:266:slice$21559 ($dffe) from module top.
  9644. Setting constant 1-bit at position 1 on $auto$ff.cc:266:slice$21559 ($dffe) from module top.
  9645. Setting constant 1-bit at position 2 on $auto$ff.cc:266:slice$21559 ($dffe) from module top.
  9646. Setting constant 1-bit at position 3 on $auto$ff.cc:266:slice$21559 ($dffe) from module top.
  9647. Setting constant 1-bit at position 4 on $auto$ff.cc:266:slice$21559 ($dffe) from module top.
  9648. Setting constant 1-bit at position 5 on $auto$ff.cc:266:slice$21559 ($dffe) from module top.
  9649. Setting constant 1-bit at position 6 on $auto$ff.cc:266:slice$21559 ($dffe) from module top.
  9650. Setting constant 1-bit at position 7 on $auto$ff.cc:266:slice$21559 ($dffe) from module top.
  9651. Setting constant 1-bit at position 8 on $auto$ff.cc:266:slice$21559 ($dffe) from module top.
  9652. Setting constant 1-bit at position 9 on $auto$ff.cc:266:slice$21559 ($dffe) from module top.
  9653. Setting constant 1-bit at position 10 on $auto$ff.cc:266:slice$21559 ($dffe) from module top.
  9654. Setting constant 1-bit at position 11 on $auto$ff.cc:266:slice$21559 ($dffe) from module top.
  9655. Setting constant 1-bit at position 12 on $auto$ff.cc:266:slice$21559 ($dffe) from module top.
  9656. Setting constant 1-bit at position 13 on $auto$ff.cc:266:slice$21559 ($dffe) from module top.
  9657. Setting constant 1-bit at position 14 on $auto$ff.cc:266:slice$21559 ($dffe) from module top.
  9658. Setting constant 1-bit at position 15 on $auto$ff.cc:266:slice$21559 ($dffe) from module top.
  9659. Setting constant 1-bit at position 0 on $auto$ff.cc:266:slice$21581 ($dffe) from module top.
  9660. Setting constant 1-bit at position 1 on $auto$ff.cc:266:slice$21581 ($dffe) from module top.
  9661. Setting constant 1-bit at position 2 on $auto$ff.cc:266:slice$21581 ($dffe) from module top.
  9662. Setting constant 1-bit at position 3 on $auto$ff.cc:266:slice$21581 ($dffe) from module top.
  9663. Setting constant 1-bit at position 4 on $auto$ff.cc:266:slice$21581 ($dffe) from module top.
  9664. Setting constant 1-bit at position 5 on $auto$ff.cc:266:slice$21581 ($dffe) from module top.
  9665. Setting constant 1-bit at position 6 on $auto$ff.cc:266:slice$21581 ($dffe) from module top.
  9666. Setting constant 1-bit at position 7 on $auto$ff.cc:266:slice$21581 ($dffe) from module top.
  9667. Setting constant 1-bit at position 8 on $auto$ff.cc:266:slice$21581 ($dffe) from module top.
  9668. Setting constant 1-bit at position 9 on $auto$ff.cc:266:slice$21581 ($dffe) from module top.
  9669. Setting constant 1-bit at position 10 on $auto$ff.cc:266:slice$21581 ($dffe) from module top.
  9670. Setting constant 1-bit at position 11 on $auto$ff.cc:266:slice$21581 ($dffe) from module top.
  9671. Setting constant 1-bit at position 12 on $auto$ff.cc:266:slice$21581 ($dffe) from module top.
  9672. Setting constant 1-bit at position 13 on $auto$ff.cc:266:slice$21581 ($dffe) from module top.
  9673. Setting constant 1-bit at position 14 on $auto$ff.cc:266:slice$21581 ($dffe) from module top.
  9674. Setting constant 1-bit at position 15 on $auto$ff.cc:266:slice$21581 ($dffe) from module top.
  9675. Setting constant 1-bit at position 0 on $auto$ff.cc:266:slice$21652 ($sdffce) from module top.
  9676. Setting constant 1-bit at position 1 on $auto$ff.cc:266:slice$21652 ($sdffce) from module top.
  9677. Setting constant 0-bit at position 2 on $auto$ff.cc:266:slice$21652 ($sdffce) from module top.
  9678. Setting constant 0-bit at position 1 on $auto$mem.cc:1623:emulate_read_first$22211 ($dff) from module top.
  9679. 63.33.9. Executing OPT_CLEAN pass (remove unused cells and wires).
  9680. Finding unused cells or wires in module \top..
  9681. Removed 1 unused cells and 8 unused wires.
  9682. <suppressed ~4 debug messages>
  9683. 63.33.10. Rerunning OPT passes. (Removed registers in this run.)
  9684. 63.33.11. Executing OPT_EXPR pass (perform const folding).
  9685. Optimizing module top.
  9686. 63.33.12. Executing OPT_MERGE pass (detect identical cells).
  9687. Finding identical cells in module `\top'.
  9688. Removed a total of 0 cells.
  9689. 63.33.13. Executing OPT_DFF pass (perform DFF optimizations).
  9690. Setting constant 0-bit at position 1 on $auto$mem.cc:1146:emulate_transparency$22215 ($dffe) from module top.
  9691. 63.33.14. Executing OPT_CLEAN pass (remove unused cells and wires).
  9692. Finding unused cells or wires in module \top..
  9693. 63.33.15. Rerunning OPT passes. (Removed registers in this run.)
  9694. 63.33.16. Executing OPT_EXPR pass (perform const folding).
  9695. Optimizing module top.
  9696. 63.33.17. Executing OPT_MERGE pass (detect identical cells).
  9697. Finding identical cells in module `\top'.
  9698. Removed a total of 0 cells.
  9699. 63.33.18. Executing OPT_DFF pass (perform DFF optimizations).
  9700. 63.33.19. Executing OPT_CLEAN pass (remove unused cells and wires).
  9701. Finding unused cells or wires in module \top..
  9702. 63.33.20. Finished fast OPT passes.
  9703. 63.34. Executing MEMORY_MAP pass (converting memories to logic and flip-flops).
  9704. Mapping memory $flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698 in module \top:
  9705. created 16 $dff cells and 0 static cells of width 5.
  9706. Extracted data FF from read port 0 of top.$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698: $$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdreg[0]
  9707. read interface: 1 $dff and 15 $mux cells.
  9708. write interface: 0 write mux blocks.
  9709. Mapping memory \sys_mgr_I.crg_I.rst_cnt_nxt in module \top:
  9710. created 16 $dff cells and 0 static cells of width 4.
  9711. Extracted data FF from read port 0 of top.sys_mgr_I.crg_I.rst_cnt_nxt: $\sys_mgr_I.crg_I.rst_cnt_nxt$rdreg[0]
  9712. read interface: 1 $dff and 15 $mux cells.
  9713. write interface: 0 write mux blocks.
  9714. 63.35. Executing OPT pass (performing simple optimizations).
  9715. 63.35.1. Executing OPT_EXPR pass (perform const folding).
  9716. Optimizing module top.
  9717. <suppressed ~5 debug messages>
  9718. 63.35.2. Executing OPT_MERGE pass (detect identical cells).
  9719. Finding identical cells in module `\top'.
  9720. Removed a total of 0 cells.
  9721. 63.35.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
  9722. Running muxtree optimizer on module \top..
  9723. Creating internal representation of mux trees.
  9724. Evaluating internal representation of mux trees.
  9725. Replacing known input bits on port A of cell $flatten\cpu_I.$procmux$6754: \cpu_I.IBusCachedPlugin_iBusRsp_redoFetch -> 1'0
  9726. Analyzing evaluation results.
  9727. Removed 0 multiplexer ports.
  9728. <suppressed ~188 debug messages>
  9729. 63.35.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  9730. Optimizing cells in module \top.
  9731. New input vector for $reduce_or cell $auto$memory_libmap.cc:1855:emit_port$22184: { $flatten\bram_I.$0$memwr$\mem$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/soc_bram.v:36$3352_EN[31:0]$3365 [31] $auto$wreduce.cc:461:run$21660 [23] }
  9732. New input vector for $reduce_or cell $auto$memory_libmap.cc:1855:emit_port$22182: { $auto$wreduce.cc:461:run$21659 [15] $auto$wreduce.cc:461:run$21658 [7] }
  9733. Consolidated identical input bits for $mux cell $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][3][2]$22538:
  9734. Old ports: A=4'0101, B=4'0110, Y=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][1]$a$22524
  9735. New ports: A=2'01, B=2'10, Y=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][1]$a$22524 [1:0]
  9736. New connections: $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][1]$a$22524 [3:2] = 2'01
  9737. Consolidated identical input bits for $mux cell $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][3][1]$22535:
  9738. Old ports: A=4'0011, B=4'0100, Y=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][0]$b$22522
  9739. New ports: A=2'01, B=2'10, Y={ $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][0]$b$22522 [2] $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][0]$b$22522 [0] }
  9740. New connections: { $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][0]$b$22522 [3] $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][0]$b$22522 [1] } = { 1'0 $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][0]$b$22522 [0] }
  9741. Consolidated identical input bits for $mux cell $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][3][0]$22532:
  9742. Old ports: A=4'0001, B=4'0010, Y=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][0]$a$22521
  9743. New ports: A=2'01, B=2'10, Y=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][0]$a$22521 [1:0]
  9744. New connections: $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][0]$a$22521 [3:2] = 2'00
  9745. Consolidated identical input bits for $mux cell $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][3][5]$22547:
  9746. Old ports: A=4'1011, B=4'1100, Y=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][2]$b$22528
  9747. New ports: A=2'01, B=2'10, Y={ $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][2]$b$22528 [2] $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][2]$b$22528 [0] }
  9748. New connections: { $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][2]$b$22528 [3] $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][2]$b$22528 [1] } = { 1'1 $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][2]$b$22528 [0] }
  9749. Consolidated identical input bits for $mux cell $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][3][5]$22502:
  9750. Old ports: A=5'11011, B=5'00000, Y=$memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][2]$b$22483
  9751. New ports: A=1'1, B=1'0, Y=$memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][2]$b$22483 [0]
  9752. New connections: $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][2]$b$22483 [4:1] = { $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][2]$b$22483 [0] $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][2]$b$22483 [0] 1'0 $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][2]$b$22483 [0] }
  9753. Consolidated identical input bits for $mux cell $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][3][4]$22499:
  9754. Old ports: A=5'01001, B=5'01010, Y=$memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][2]$a$22482
  9755. New ports: A=2'01, B=2'10, Y=$memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][2]$a$22482 [1:0]
  9756. New connections: $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][2]$a$22482 [4:2] = 3'010
  9757. Consolidated identical input bits for $mux cell $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][3][3]$22496:
  9758. Old ports: A=5'00111, B=5'11000, Y=$memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][1]$b$22480
  9759. New ports: A=2'01, B=2'10, Y={ $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][1]$b$22480 [3] $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][1]$b$22480 [0] }
  9760. New connections: { $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][1]$b$22480 [4] $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][1]$b$22480 [2:1] } = { $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][1]$b$22480 [3] $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][1]$b$22480 [0] $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][1]$b$22480 [0] }
  9761. Consolidated identical input bits for $mux cell $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][3][2]$22493:
  9762. Old ports: A=5'00101, B=5'10110, Y=$memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][1]$a$22479
  9763. New ports: A=2'01, B=2'10, Y=$memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][1]$a$22479 [1:0]
  9764. New connections: $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][1]$a$22479 [4:2] = { $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][1]$a$22479 [1] 2'01 }
  9765. Consolidated identical input bits for $mux cell $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][3][1]$22490:
  9766. Old ports: A=5'10011, B=5'00100, Y=$memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$b$22477
  9767. New ports: A=2'01, B=2'10, Y={ $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$b$22477 [2] $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$b$22477 [0] }
  9768. New connections: { $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$b$22477 [4:3] $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$b$22477 [1] } = { $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$b$22477 [0] 1'0 $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$b$22477 [0] }
  9769. Consolidated identical input bits for $mux cell $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][3][0]$22487:
  9770. Old ports: A=5'10001, B=5'00010, Y=$memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$a$22476
  9771. New ports: A=2'01, B=2'10, Y=$memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$a$22476 [1:0]
  9772. New connections: $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$a$22476 [4:2] = { $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$a$22476 [0] 2'00 }
  9773. Consolidated identical input bits for $mux cell $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][3][4]$22544:
  9774. Old ports: A=4'1001, B=4'1010, Y=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][2]$a$22527
  9775. New ports: A=2'01, B=2'10, Y=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][2]$a$22527 [1:0]
  9776. New connections: $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][2]$a$22527 [3:2] = 2'10
  9777. Consolidated identical input bits for $mux cell $flatten\cache_I.$procmux$4729:
  9778. Old ports: A={ \cache_I.ev_way_r \cache_I.req_addr [11:3] \cache_I.cnt_ofs }, B={ \cache_I.lu_hit_way \cache_I.req_addr [11:0] }, Y=\cache_I.data_ram_I.mem_addr
  9779. New ports: A={ \cache_I.ev_way_r \cache_I.cnt_ofs }, B={ \cache_I.lu_hit_way \cache_I.req_addr [2:0] }, Y={ \cache_I.data_ram_I.mem_addr [13:12] \cache_I.data_ram_I.mem_addr [2:0] }
  9780. New connections: \cache_I.data_ram_I.mem_addr [11:3] = \cache_I.req_addr [11:3]
  9781. Consolidated identical input bits for $mux cell $flatten\cache_bus_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2memcache//rtl/mc_bus_vex.v:156$3394:
  9782. Old ports: A=3'000, B=3'110, Y=$auto$wreduce.cc:461:run$21672 [2:0]
  9783. New ports: A=1'0, B=1'1, Y=$auto$wreduce.cc:461:run$21672 [1]
  9784. New connections: { $auto$wreduce.cc:461:run$21672 [2] $auto$wreduce.cc:461:run$21672 [0] } = { $auto$wreduce.cc:461:run$21672 [1] 1'0 }
  9785. Consolidated identical input bits for $pmux cell $flatten\cpu_I.$procmux$6323:
  9786. Old ports: A=4'1111, B=8'00010011, Y=\cpu_I._zz_156_
  9787. New ports: A=2'11, B=4'0001, Y=\cpu_I._zz_156_ [2:1]
  9788. New connections: { \cpu_I._zz_156_ [3] \cpu_I._zz_156_ [0] } = { \cpu_I._zz_156_ [2] 1'1 }
  9789. Consolidated identical input bits for $mux cell $flatten\cpu_I.$procmux$6336:
  9790. Old ports: A=4'0000, B={ \cpu_I.CsrPlugin_mcause_exceptionCode [3] 3'011 }, Y=\cpu_I._zz_152_ [3:0]
  9791. New ports: A=2'00, B={ \cpu_I.CsrPlugin_mcause_exceptionCode [3] 1'1 }, Y={ \cpu_I._zz_152_ [3] \cpu_I._zz_152_ [0] }
  9792. New connections: \cpu_I._zz_152_ [2:1] = { 1'0 \cpu_I._zz_152_ [0] }
  9793. Consolidated identical input bits for $mux cell $flatten\cpu_I.$procmux$6523:
  9794. Old ports: A={ \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] $flatten\cpu_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3814$2231_Y [19:0] }, B=4, Y=$flatten\cpu_I.$2\execute_BranchPlugin_branch_src2[31:0]
  9795. New ports: A={ \cpu_I.decode_to_execute_INSTRUCTION [31] $flatten\cpu_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3814$2231_Y [19:0] }, B=21'000000000000000000100, Y=$flatten\cpu_I.$2\execute_BranchPlugin_branch_src2[31:0] [20:0]
  9796. New connections: $flatten\cpu_I.$2\execute_BranchPlugin_branch_src2[31:0] [31:21] = { $flatten\cpu_I.$2\execute_BranchPlugin_branch_src2[31:0] [20] $flatten\cpu_I.$2\execute_BranchPlugin_branch_src2[31:0] [20] $flatten\cpu_I.$2\execute_BranchPlugin_branch_src2[31:0] [20] $flatten\cpu_I.$2\execute_BranchPlugin_branch_src2[31:0] [20] $flatten\cpu_I.$2\execute_BranchPlugin_branch_src2[31:0] [20] $flatten\cpu_I.$2\execute_BranchPlugin_branch_src2[31:0] [20] $flatten\cpu_I.$2\execute_BranchPlugin_branch_src2[31:0] [20] $flatten\cpu_I.$2\execute_BranchPlugin_branch_src2[31:0] [20] $flatten\cpu_I.$2\execute_BranchPlugin_branch_src2[31:0] [20] $flatten\cpu_I.$2\execute_BranchPlugin_branch_src2[31:0] [20] $flatten\cpu_I.$2\execute_BranchPlugin_branch_src2[31:0] [20] }
  9797. Consolidated identical input bits for $pmux cell $flatten\cpu_I.$procmux$6700:
  9798. Old ports: A={ \cpu_I.memory_to_writeBack_MEMORY_READ_DATA [31:16] \cpu_I._zz_87_ [15:8] \cpu_I._zz_85_ [7:0] }, B={ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_85_ [7:0] \cpu_I._zz_86_ \cpu_I._zz_86_ \cpu_I._zz_86_ \cpu_I._zz_86_ \cpu_I._zz_86_ \cpu_I._zz_86_ \cpu_I._zz_86_ \cpu_I._zz_86_ \cpu_I._zz_86_ \cpu_I._zz_86_ \cpu_I._zz_86_ \cpu_I._zz_86_ \cpu_I._zz_86_ \cpu_I._zz_86_ \cpu_I._zz_86_ \cpu_I._zz_86_ \cpu_I._zz_87_ [15:8] \cpu_I._zz_85_ [7:0] }, Y=\cpu_I.writeBack_DBusSimplePlugin_rspFormated
  9799. New ports: A={ \cpu_I.memory_to_writeBack_MEMORY_READ_DATA [31:16] \cpu_I._zz_87_ [15:8] }, B={ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_84_ \cpu_I._zz_86_ \cpu_I._zz_86_ \cpu_I._zz_86_ \cpu_I._zz_86_ \cpu_I._zz_86_ \cpu_I._zz_86_ \cpu_I._zz_86_ \cpu_I._zz_86_ \cpu_I._zz_86_ \cpu_I._zz_86_ \cpu_I._zz_86_ \cpu_I._zz_86_ \cpu_I._zz_86_ \cpu_I._zz_86_ \cpu_I._zz_86_ \cpu_I._zz_86_ \cpu_I._zz_87_ [15:8] }, Y=\cpu_I.writeBack_DBusSimplePlugin_rspFormated [31:8]
  9800. New connections: \cpu_I.writeBack_DBusSimplePlugin_rspFormated [7:0] = \cpu_I._zz_85_ [7:0]
  9801. Consolidated identical input bits for $pmux cell $flatten\cpu_I.$procmux$6744:
  9802. Old ports: A=\cpu_I.decode_to_execute_RS2, B={ \cpu_I.decode_to_execute_RS2 [7:0] \cpu_I.decode_to_execute_RS2 [7:0] \cpu_I.decode_to_execute_RS2 [7:0] \cpu_I.decode_to_execute_RS2 [7:0] \cpu_I.decode_to_execute_RS2 [15:0] \cpu_I.decode_to_execute_RS2 [15:0] }, Y=\cpu_I._zz_82_
  9803. New ports: A=\cpu_I.decode_to_execute_RS2 [31:8], B={ \cpu_I.decode_to_execute_RS2 [7:0] \cpu_I.decode_to_execute_RS2 [7:0] \cpu_I.decode_to_execute_RS2 [7:0] \cpu_I.decode_to_execute_RS2 [15:0] \cpu_I.decode_to_execute_RS2 [15:8] }, Y=\cpu_I._zz_82_ [31:8]
  9804. New connections: \cpu_I._zz_82_ [7:0] = \cpu_I.decode_to_execute_RS2 [7:0]
  9805. Consolidated identical input bits for $mux cell $flatten\cpu_I.$procmux$6793:
  9806. Old ports: A={ $flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2947$2077_Y [31:2] 2'00 }, B={ \cpu_I.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress [31:2] 2'00 }, Y=$flatten\cpu_I.$1\IBusCachedPlugin_fetchPc_pc[31:0]
  9807. New ports: A=$flatten\cpu_I.$add$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2947$2077_Y [31:2], B=\cpu_I.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress [31:2], Y=$flatten\cpu_I.$1\IBusCachedPlugin_fetchPc_pc[31:0] [31:2]
  9808. New connections: $flatten\cpu_I.$1\IBusCachedPlugin_fetchPc_pc[31:0] [1:0] = 2'00
  9809. Consolidated identical input bits for $mux cell $flatten\cpu_I.$procmux$6814:
  9810. Old ports: A=0, B={ \cpu_I.CsrPlugin_mtvec_base 2'00 }, Y=$flatten\cpu_I.$1\CsrPlugin_jumpInterface_payload[31:0]
  9811. New ports: A=30'000000000000000000000000000000, B=\cpu_I.CsrPlugin_mtvec_base, Y=$flatten\cpu_I.$1\CsrPlugin_jumpInterface_payload[31:0] [31:2]
  9812. New connections: $flatten\cpu_I.$1\CsrPlugin_jumpInterface_payload[31:0] [1:0] = 2'00
  9813. Consolidated identical input bits for $mux cell $flatten\cpu_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3156$2121:
  9814. Old ports: A={ \cpu_I.IBusCachedPlugin_cache.io_cpu_fetch_data_regNextWhen [31] \cpu_I.IBusCachedPlugin_cache.io_cpu_fetch_data_regNextWhen [31] \cpu_I.IBusCachedPlugin_cache.io_cpu_fetch_data_regNextWhen [31] \cpu_I.IBusCachedPlugin_cache.io_cpu_fetch_data_regNextWhen [31] \cpu_I.IBusCachedPlugin_cache.io_cpu_fetch_data_regNextWhen [31] \cpu_I.IBusCachedPlugin_cache.io_cpu_fetch_data_regNextWhen [31] \cpu_I.IBusCachedPlugin_cache.io_cpu_fetch_data_regNextWhen [31] \cpu_I.IBusCachedPlugin_cache.io_cpu_fetch_data_regNextWhen [31] \cpu_I.IBusCachedPlugin_cache.io_cpu_fetch_data_regNextWhen [7] \cpu_I.IBusCachedPlugin_cache.io_cpu_fetch_data_regNextWhen [30:25] \cpu_I.IBusCachedPlugin_cache.io_cpu_fetch_data_regNextWhen [11:8] 1'0 }, B={ \cpu_I.IBusCachedPlugin_cache.io_cpu_fetch_data_regNextWhen [19:12] \cpu_I.IBusCachedPlugin_cache.io_cpu_fetch_data_regNextWhen [20] \cpu_I.IBusCachedPlugin_cache.io_cpu_fetch_data_regNextWhen [30:21] 1'0 }, Y={ $flatten\cpu_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3156$2121_Y [19:2] \cpu_I.IBusCachedPlugin_predictionJumpInterface_payload [1:0] }
  9815. New ports: A={ \cpu_I.IBusCachedPlugin_cache.io_cpu_fetch_data_regNextWhen [31] \cpu_I.IBusCachedPlugin_cache.io_cpu_fetch_data_regNextWhen [31] \cpu_I.IBusCachedPlugin_cache.io_cpu_fetch_data_regNextWhen [31] \cpu_I.IBusCachedPlugin_cache.io_cpu_fetch_data_regNextWhen [31] \cpu_I.IBusCachedPlugin_cache.io_cpu_fetch_data_regNextWhen [31] \cpu_I.IBusCachedPlugin_cache.io_cpu_fetch_data_regNextWhen [31] \cpu_I.IBusCachedPlugin_cache.io_cpu_fetch_data_regNextWhen [31] \cpu_I.IBusCachedPlugin_cache.io_cpu_fetch_data_regNextWhen [31] \cpu_I.IBusCachedPlugin_cache.io_cpu_fetch_data_regNextWhen [7] \cpu_I.IBusCachedPlugin_cache.io_cpu_fetch_data_regNextWhen [11:8] }, B={ \cpu_I.IBusCachedPlugin_cache.io_cpu_fetch_data_regNextWhen [19:12] \cpu_I.IBusCachedPlugin_cache.io_cpu_fetch_data_regNextWhen [20] \cpu_I.IBusCachedPlugin_cache.io_cpu_fetch_data_regNextWhen [24:21] }, Y={ $flatten\cpu_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3156$2121_Y [19:11] $flatten\cpu_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3156$2121_Y [4:2] \cpu_I.IBusCachedPlugin_predictionJumpInterface_payload [1] }
  9816. New connections: { $flatten\cpu_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3156$2121_Y [10:5] \cpu_I.IBusCachedPlugin_predictionJumpInterface_payload [0] } = { \cpu_I.IBusCachedPlugin_cache.io_cpu_fetch_data_regNextWhen [30:25] 1'0 }
  9817. Consolidated identical input bits for $mux cell $flatten\cpu_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3814$2231:
  9818. Old ports: A={ \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [7] \cpu_I.decode_to_execute_INSTRUCTION [30:25] \cpu_I.decode_to_execute_INSTRUCTION [11:8] 1'0 }, B={ \cpu_I.decode_to_execute_INSTRUCTION [19:12] \cpu_I.decode_to_execute_INSTRUCTION [20] \cpu_I.decode_to_execute_INSTRUCTION [30:21] 1'0 }, Y=$flatten\cpu_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3814$2231_Y [19:0]
  9819. New ports: A={ \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [7] \cpu_I.decode_to_execute_INSTRUCTION [11:8] }, B={ \cpu_I.decode_to_execute_INSTRUCTION [19:12] \cpu_I.decode_to_execute_INSTRUCTION [20] \cpu_I.decode_to_execute_INSTRUCTION [24:21] }, Y={ $flatten\cpu_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3814$2231_Y [19:11] $flatten\cpu_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3814$2231_Y [4:1] }
  9820. New connections: { $flatten\cpu_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3814$2231_Y [10:5] $flatten\cpu_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3814$2231_Y [0] } = { \cpu_I.decode_to_execute_INSTRUCTION [30:25] 1'0 }
  9821. New ctrl vector for $pmux cell $flatten\memctrl_I.$procmux$5237: $auto$opt_reduce.cc:134:opt_pmux$22557
  9822. Consolidated identical input bits for $pmux cell $flatten\memctrl_I.$procmux$5499:
  9823. Old ports: A=4'0001, B=8'00001111, Y=$flatten\memctrl_I.$2\phy_io_oe[3:0]
  9824. New ports: A=2'01, B=4'0011, Y=$flatten\memctrl_I.$2\phy_io_oe[3:0] [1:0]
  9825. New connections: $flatten\memctrl_I.$2\phy_io_oe[3:0] [3:2] = { $flatten\memctrl_I.$2\phy_io_oe[3:0] [1] $flatten\memctrl_I.$2\phy_io_oe[3:0] [1] }
  9826. Consolidated identical input bits for $pmux cell $flatten\memctrl_I.$procmux$5629:
  9827. Old ports: A=6'001011, B=36'010011011011111101111111000001000011, Y=$flatten\memctrl_I.$2$mem2reg_rd$\cmd_len_rom$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:570$2988_DATA[5:0]$3069
  9828. New ports: A=4'0101, B=24'100111011110111100000001, Y=$flatten\memctrl_I.$2$mem2reg_rd$\cmd_len_rom$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:570$2988_DATA[5:0]$3069 [4:1]
  9829. New connections: { $flatten\memctrl_I.$2$mem2reg_rd$\cmd_len_rom$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:570$2988_DATA[5:0]$3069 [5] $flatten\memctrl_I.$2$mem2reg_rd$\cmd_len_rom$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:570$2988_DATA[5:0]$3069 [0] } = { $flatten\memctrl_I.$2$mem2reg_rd$\cmd_len_rom$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:570$2988_DATA[5:0]$3069 [2] 1'1 }
  9830. Consolidated identical input bits for $pmux cell $flatten\memctrl_I.$procmux$5652:
  9831. Old ports: A=4'0010, B=12'011010001100, Y={ $flatten\memctrl_I.$2\so_ld_mode[1:0] $flatten\memctrl_I.$2\so_ld_dst[1:0] }
  9832. New ports: A=3'001, B=9'011100110, Y={ $flatten\memctrl_I.$2\so_ld_mode[1:0] $flatten\memctrl_I.$2\so_ld_dst[1:0] [1] }
  9833. New connections: $flatten\memctrl_I.$2\so_ld_dst[1:0] [0] = 1'0
  9834. Consolidated identical input bits for $mux cell $flatten\uart_I.\uart_rx_I.\genblk1.gf_I.$procmux$5121:
  9835. Old ports: A=2'00, B=2'11, Y=$flatten\uart_I.\uart_rx_I.\genblk1.gf_I.$2\cnt_move[1:0]
  9836. New ports: A=1'0, B=1'1, Y=$flatten\uart_I.\uart_rx_I.\genblk1.gf_I.$2\cnt_move[1:0] [0]
  9837. New connections: $flatten\uart_I.\uart_rx_I.\genblk1.gf_I.$2\cnt_move[1:0] [1] = $flatten\uart_I.\uart_rx_I.\genblk1.gf_I.$2\cnt_move[1:0] [0]
  9838. Consolidated identical input bits for $mux cell $flatten\uart_I.\uart_tx_I.$procmux$5028:
  9839. Old ports: A={ 1'1 \uart_I.uart_tx_I.shift [9:1] }, B={ 1'1 \uart_I.uart_tx_fifo_I.ram_I.rd_data 1'0 }, Y=$flatten\uart_I.\uart_tx_I.$0\shift[9:0]
  9840. New ports: A=\uart_I.uart_tx_I.shift [9:1], B={ \uart_I.uart_tx_fifo_I.ram_I.rd_data 1'0 }, Y=$flatten\uart_I.\uart_tx_I.$0\shift[9:0] [8:0]
  9841. New connections: $flatten\uart_I.\uart_tx_I.$0\shift[9:0] [9] = 1'1
  9842. Consolidated identical input bits for $mux cell $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][3][6]$22550:
  9843. Old ports: A=4'1101, B=4'1110, Y=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][3]$a$22530
  9844. New ports: A=2'01, B=2'10, Y=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][3]$a$22530 [1:0]
  9845. New connections: $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][3]$a$22530 [3:2] = 2'11
  9846. Consolidated identical input bits for $mux cell $flatten\vid_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/vid_top.v:236$1634:
  9847. Old ports: A=9'000000000, B=9'101000000, Y=$auto$wreduce.cc:461:run$21690 [8:0]
  9848. New ports: A=1'0, B=1'1, Y=$auto$wreduce.cc:461:run$21690 [6]
  9849. New connections: { $auto$wreduce.cc:461:run$21690 [8:7] $auto$wreduce.cc:461:run$21690 [5:0] } = { $auto$wreduce.cc:461:run$21690 [6] 7'0000000 }
  9850. Consolidated identical input bits for $pmux cell $flatten\vid_I.\tgen_I.$procmux$5069:
  9851. Old ports: A=10'0000000000, B=30'000001111101110111100000001000, Y=$flatten\vid_I.\tgen_I.$2\v_mux[9:0]
  9852. New ports: A=4'0000, B=12'011111100100, Y={ $flatten\vid_I.\tgen_I.$2\v_mux[9:0] [6] $flatten\vid_I.\tgen_I.$2\v_mux[9:0] [3] $flatten\vid_I.\tgen_I.$2\v_mux[9:0] [1:0] }
  9853. New connections: { $flatten\vid_I.\tgen_I.$2\v_mux[9:0] [9:7] $flatten\vid_I.\tgen_I.$2\v_mux[9:0] [5:4] $flatten\vid_I.\tgen_I.$2\v_mux[9:0] [2] } = { 1'0 $flatten\vid_I.\tgen_I.$2\v_mux[9:0] [6] $flatten\vid_I.\tgen_I.$2\v_mux[9:0] [6] 1'0 $flatten\vid_I.\tgen_I.$2\v_mux[9:0] [1] $flatten\vid_I.\tgen_I.$2\v_mux[9:0] [1] }
  9854. Consolidated identical input bits for $pmux cell $flatten\vid_I.\tgen_I.$procmux$5084:
  9855. Old ports: A=11'00001011110, B=33'000001011100100111111000000001110, Y=$flatten\vid_I.\tgen_I.$2\h_mux[10:0]
  9856. New ports: A=3'001, B=9'010111000, Y={ $flatten\vid_I.\tgen_I.$2\h_mux[10:0] [9] $flatten\vid_I.\tgen_I.$2\h_mux[10:0] [5:4] }
  9857. New connections: { $flatten\vid_I.\tgen_I.$2\h_mux[10:0] [10] $flatten\vid_I.\tgen_I.$2\h_mux[10:0] [8:6] $flatten\vid_I.\tgen_I.$2\h_mux[10:0] [3:0] } = { 3'000 $flatten\vid_I.\tgen_I.$2\h_mux[10:0] [4] 4'1110 }
  9858. Consolidated identical input bits for $mux cell $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][3][3]$22541:
  9859. Old ports: A=4'0111, B=4'1000, Y=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][1]$b$22525
  9860. New ports: A=2'01, B=2'10, Y={ $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][1]$b$22525 [3] $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][1]$b$22525 [0] }
  9861. New connections: $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][1]$b$22525 [2:1] = { $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][1]$b$22525 [0] $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][1]$b$22525 [0] }
  9862. Optimizing cells in module \top.
  9863. Consolidated identical input bits for $mux cell $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][3]$22529:
  9864. Old ports: A=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][3]$a$22530, B=4'1111, Y=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][1][1]$b$22519
  9865. New ports: A=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][3]$a$22530 [1:0], B=2'11, Y=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][1][1]$b$22519 [1:0]
  9866. New connections: $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][1][1]$b$22519 [3:2] = 2'11
  9867. Consolidated identical input bits for $mux cell $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][2]$22526:
  9868. Old ports: A=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][2]$a$22527, B=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][2]$b$22528, Y=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][1][1]$a$22518
  9869. New ports: A={ 1'0 $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][2]$a$22527 [1:0] }, B={ $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][2]$b$22528 [2] $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][2]$b$22528 [0] $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][2]$b$22528 [0] }, Y=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][1][1]$a$22518 [2:0]
  9870. New connections: $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][1][1]$a$22518 [3] = 1'1
  9871. Consolidated identical input bits for $mux cell $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][0]$22520:
  9872. Old ports: A=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][0]$a$22521, B=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][0]$b$22522, Y=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][1][0]$a$22515
  9873. New ports: A={ 1'0 $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][0]$a$22521 [1:0] }, B={ $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][0]$b$22522 [2] $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][0]$b$22522 [0] $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][0]$b$22522 [0] }, Y=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][1][0]$a$22515 [2:0]
  9874. New connections: $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][1][0]$a$22515 [3] = 1'0
  9875. Consolidated identical input bits for $mux cell $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][2]$22481:
  9876. Old ports: A=$memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][2]$a$22482, B=$memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][2]$b$22483, Y=$memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][1][1]$a$22473
  9877. New ports: A={ 2'01 $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][2]$a$22482 [1:0] }, B={ $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][2]$b$22483 [0] $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][2]$b$22483 [0] $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][2]$b$22483 [0] $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][2]$b$22483 [0] }, Y={ $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][1][1]$a$22473 [4:3] $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][1][1]$a$22473 [1:0] }
  9878. New connections: $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][1][1]$a$22473 [2] = 1'0
  9879. Consolidated identical input bits for $mux cell $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$22475:
  9880. Old ports: A=$memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$a$22476, B=$memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$b$22477, Y=$memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][1][0]$a$22470
  9881. New ports: A={ 1'0 $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$a$22476 [1:0] }, B={ $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$b$22477 [2] $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$b$22477 [0] $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$b$22477 [0] }, Y=$memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][1][0]$a$22470 [2:0]
  9882. New connections: $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][1][0]$a$22470 [4:3] = { $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][1][0]$a$22470 [0] 1'0 }
  9883. Consolidated identical input bits for $mux cell $flatten\cpu_I.$procmux$6523:
  9884. Old ports: A={ \cpu_I.decode_to_execute_INSTRUCTION [31] $flatten\cpu_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3814$2231_Y [19:0] }, B=21'000000000000000000100, Y=$flatten\cpu_I.$2\execute_BranchPlugin_branch_src2[31:0] [20:0]
  9885. New ports: A={ \cpu_I.decode_to_execute_INSTRUCTION [31] $flatten\cpu_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3814$2231_Y [19:11] \cpu_I.decode_to_execute_INSTRUCTION [30:25] $flatten\cpu_I.$ternary$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:3814$2231_Y [4:1] }, B=20'00000000000000000010, Y=$flatten\cpu_I.$2\execute_BranchPlugin_branch_src2[31:0] [20:1]
  9886. New connections: $flatten\cpu_I.$2\execute_BranchPlugin_branch_src2[31:0] [0] = 1'0
  9887. Consolidated identical input bits for $mux cell $flatten\cpu_I.$procmux$6529:
  9888. Old ports: A=$flatten\cpu_I.$2\execute_BranchPlugin_branch_src2[31:0], B={ \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31:20] }, Y=\cpu_I.execute_BranchPlugin_branch_src2
  9889. New ports: A=$flatten\cpu_I.$2\execute_BranchPlugin_branch_src2[31:0] [20:0], B={ \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31] \cpu_I.decode_to_execute_INSTRUCTION [31:20] }, Y=\cpu_I.execute_BranchPlugin_branch_src2 [20:0]
  9890. New connections: \cpu_I.execute_BranchPlugin_branch_src2 [31:21] = { \cpu_I.execute_BranchPlugin_branch_src2 [20] \cpu_I.execute_BranchPlugin_branch_src2 [20] \cpu_I.execute_BranchPlugin_branch_src2 [20] \cpu_I.execute_BranchPlugin_branch_src2 [20] \cpu_I.execute_BranchPlugin_branch_src2 [20] \cpu_I.execute_BranchPlugin_branch_src2 [20] \cpu_I.execute_BranchPlugin_branch_src2 [20] \cpu_I.execute_BranchPlugin_branch_src2 [20] \cpu_I.execute_BranchPlugin_branch_src2 [20] \cpu_I.execute_BranchPlugin_branch_src2 [20] \cpu_I.execute_BranchPlugin_branch_src2 [20] }
  9891. Consolidated identical input bits for $mux cell $flatten\memctrl_I.$procmux$5522:
  9892. Old ports: A=4'0000, B=$flatten\memctrl_I.$2\phy_io_oe[3:0], Y=\memctrl_I.phy_io_oe
  9893. New ports: A=2'00, B=$flatten\memctrl_I.$2\phy_io_oe[3:0] [1:0], Y=\memctrl_I.phy_io_oe [1:0]
  9894. New connections: \memctrl_I.phy_io_oe [3:2] = { \memctrl_I.phy_io_oe [1] \memctrl_I.phy_io_oe [1] }
  9895. Consolidated identical input bits for $pmux cell $flatten\memctrl_I.$procmux$5667:
  9896. Old ports: A=$flatten\memctrl_I.$2$mem2reg_rd$\cmd_len_rom$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:570$2988_DATA[5:0]$3069, B=12'000001000011, Y=\memctrl_I.so_ld_cnt
  9897. New ports: A=$flatten\memctrl_I.$2$mem2reg_rd$\cmd_len_rom$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/cores/no2qpimem//rtl/qpi_memctrl.v:570$2988_DATA[5:0]$3069 [4:1], B=8'00000001, Y=\memctrl_I.so_ld_cnt [4:1]
  9898. New connections: { \memctrl_I.so_ld_cnt [5] \memctrl_I.so_ld_cnt [0] } = { \memctrl_I.so_ld_cnt [2] 1'1 }
  9899. Optimizing cells in module \top.
  9900. Consolidated identical input bits for $mux cell $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][1][1]$22517:
  9901. Old ports: A=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][1][1]$a$22518, B=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][1][1]$b$22519, Y=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][0][0]$b$22513
  9902. New ports: A=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][1][1]$a$22518 [2:0], B={ 1'1 $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][1][1]$b$22519 [1:0] }, Y=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][0][0]$b$22513 [2:0]
  9903. New connections: $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][0][0]$b$22513 [3] = 1'1
  9904. Consolidated identical input bits for $mux cell $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][1][1]$22472:
  9905. Old ports: A=$memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][1][1]$a$22473, B=5'00000, Y=$memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][0][0]$b$22468
  9906. New ports: A={ $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][1][1]$a$22473 [4:3] $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][1][1]$a$22473 [1:0] }, B=4'0000, Y={ $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][0][0]$b$22468 [4:3] $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][0][0]$b$22468 [1:0] }
  9907. New connections: $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][0][0]$b$22468 [2] = 1'0
  9908. Optimizing cells in module \top.
  9909. Performed a total of 46 changes.
  9910. 63.35.5. Executing OPT_MERGE pass (detect identical cells).
  9911. Finding identical cells in module `\top'.
  9912. <suppressed ~33 debug messages>
  9913. Removed a total of 11 cells.
  9914. 63.35.6. Executing OPT_DFF pass (perform DFF optimizations).
  9915. 63.35.7. Executing OPT_CLEAN pass (remove unused cells and wires).
  9916. Finding unused cells or wires in module \top..
  9917. Removed 1 unused cells and 48 unused wires.
  9918. <suppressed ~2 debug messages>
  9919. 63.35.8. Executing OPT_EXPR pass (perform const folding).
  9920. Optimizing module top.
  9921. <suppressed ~6 debug messages>
  9922. 63.35.9. Rerunning OPT passes. (Maybe there is more to do..)
  9923. 63.35.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
  9924. Running muxtree optimizer on module \top..
  9925. Creating internal representation of mux trees.
  9926. Evaluating internal representation of mux trees.
  9927. Analyzing evaluation results.
  9928. Removed 0 multiplexer ports.
  9929. <suppressed ~191 debug messages>
  9930. 63.35.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  9931. Optimizing cells in module \top.
  9932. Consolidated identical input bits for $mux cell $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$22475:
  9933. Old ports: A={ 1'0 $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$a$22476 [1] $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$a$22476 [4] }, B={ $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$a$22476 [1] $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$a$22476 [4] $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$a$22476 [4] }, Y={ $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][1][0]$a$22470 [2:1] $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][1][0]$a$22470 [4] }
  9934. New ports: A={ 1'0 $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$a$22476 [1] }, B={ $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$a$22476 [1] $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$a$22476 [4] }, Y=$memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][1][0]$a$22470 [2:1]
  9935. New connections: $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][1][0]$a$22470 [4] = $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$a$22476 [4]
  9936. Consolidated identical input bits for $mux cell $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][1]$22478:
  9937. Old ports: A={ $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$a$22476 [1] 2'01 $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$a$22476 [1] $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$a$22476 [4] }, B={ $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$a$22476 [1] $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$a$22476 [1] $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$a$22476 [4] $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$a$22476 [4] $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$a$22476 [4] }, Y=$memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][1][0]$b$22471
  9938. New ports: A={ 2'01 $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$a$22476 [1] }, B={ $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$a$22476 [1] $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$a$22476 [4] $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$a$22476 [4] }, Y=$memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][1][0]$b$22471 [3:1]
  9939. New connections: { $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][1][0]$b$22471 [4] $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][1][0]$b$22471 [0] } = { $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$a$22476 [1] $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$a$22476 [4] }
  9940. Consolidated identical input bits for $mux cell $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][1]$22523:
  9941. Old ports: A={ 2'01 $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][0]$a$22521 [1:0] }, B={ $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][0]$a$22521 [1:0] $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][0]$a$22521 [0] $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][0]$a$22521 [0] }, Y=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][1][0]$b$22516
  9942. New ports: A={ 2'01 $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][0]$a$22521 [1] }, B={ $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][0]$a$22521 [1:0] $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][0]$a$22521 [0] }, Y=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][1][0]$b$22516 [3:1]
  9943. New connections: $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][1][0]$b$22516 [0] = $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][0]$a$22521 [0]
  9944. Consolidated identical input bits for $mux cell $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][2]$22526:
  9945. Old ports: A={ 1'0 $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][0]$a$22521 [1:0] }, B={ $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][0]$a$22521 [1:0] $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][0]$a$22521 [0] }, Y=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][1][0]$a$22515 [2:0]
  9946. New ports: A={ 1'0 $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][0]$a$22521 [1] }, B=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][0]$a$22521 [1:0], Y=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][1][0]$a$22515 [2:1]
  9947. New connections: $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][1][0]$a$22515 [0] = $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][0]$a$22521 [0]
  9948. Optimizing cells in module \top.
  9949. Consolidated identical input bits for $mux cell $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][1][0]$22469:
  9950. Old ports: A={ $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][1][0]$a$22470 [4] 1'0 $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][1][0]$a$22470 [2:1] $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][1][0]$a$22470 [4] }, B=$memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][1][0]$b$22471, Y=$memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][0][0]$a$22467
  9951. New ports: A={ $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$a$22476 [4] 1'0 $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][1][0]$a$22470 [2:1] }, B={ $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$a$22476 [1] $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][1][0]$b$22471 [3:1] }, Y=$memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][0][0]$a$22467 [4:1]
  9952. New connections: $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][0][0]$a$22467 [0] = $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][2][0]$a$22476 [4]
  9953. Consolidated identical input bits for $mux cell $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][1][0]$22514:
  9954. Old ports: A={ 1'0 $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][1][0]$a$22515 [2:0] }, B=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][1][0]$b$22516, Y=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][0][0]$a$22512
  9955. New ports: A={ 1'0 $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][1][0]$a$22515 [2:1] }, B=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][1][0]$b$22516 [3:1], Y=$memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][0][0]$a$22512 [3:1]
  9956. New connections: $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][0][0]$a$22512 [0] = $memory\sys_mgr_I.crg_I.rst_cnt_nxt$rdmux[0][2][0]$a$22521 [0]
  9957. Optimizing cells in module \top.
  9958. Performed a total of 6 changes.
  9959. 63.35.12. Executing OPT_MERGE pass (detect identical cells).
  9960. Finding identical cells in module `\top'.
  9961. Removed a total of 0 cells.
  9962. 63.35.13. Executing OPT_DFF pass (perform DFF optimizations).
  9963. Adding SRST signal on $auto$ff.cc:266:slice$22455 ($dffe) from module top (D = 1'x, Q = \memctrl_I.so_cnt [0], rval = 1'1).
  9964. Adding SRST signal on $$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdreg[0] ($sdffce) from module top (D = $memory$flatten\vid_I.$auto$proc_rom.cc:155:do_switch$4698$rdmux[0][0][0]$a$22467 [2], Q = \vid_I.pp_yscale_state [2], rval = 1'0).
  9965. Setting constant 1-bit at position 9 on $auto$ff.cc:266:slice$21392 ($adffe) from module top.
  9966. Setting constant 1-bit at position 0 on $auto$ff.cc:266:slice$22561 ($sdffce) from module top.
  9967. 63.35.14. Executing OPT_CLEAN pass (remove unused cells and wires).
  9968. Finding unused cells or wires in module \top..
  9969. Removed 0 unused cells and 3 unused wires.
  9970. <suppressed ~1 debug messages>
  9971. 63.35.15. Executing OPT_EXPR pass (perform const folding).
  9972. Optimizing module top.
  9973. <suppressed ~27 debug messages>
  9974. 63.35.16. Rerunning OPT passes. (Maybe there is more to do..)
  9975. 63.35.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
  9976. Running muxtree optimizer on module \top..
  9977. Creating internal representation of mux trees.
  9978. Evaluating internal representation of mux trees.
  9979. Analyzing evaluation results.
  9980. Removed 0 multiplexer ports.
  9981. <suppressed ~192 debug messages>
  9982. 63.35.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  9983. Optimizing cells in module \top.
  9984. Consolidated identical input bits for $mux cell $flatten\memctrl_I.$procmux$5528:
  9985. Old ports: A=4'0000, B={ 1'1 $flatten\memctrl_I.$2\phy_clk_o[3:0] [2:0] }, Y=\memctrl_I.phy_clk_o
  9986. New ports: A=3'000, B={ 1'1 $flatten\memctrl_I.$2\phy_clk_o[3:0] [1:0] }, Y=\memctrl_I.phy_clk_o [2:0]
  9987. New connections: \memctrl_I.phy_clk_o [3] = \memctrl_I.phy_clk_o [2]
  9988. Optimizing cells in module \top.
  9989. Performed a total of 1 changes.
  9990. 63.35.19. Executing OPT_MERGE pass (detect identical cells).
  9991. Finding identical cells in module `\top'.
  9992. <suppressed ~8 debug messages>
  9993. Removed a total of 2 cells.
  9994. 63.35.20. Executing OPT_DFF pass (perform DFF optimizations).
  9995. 63.35.21. Executing OPT_CLEAN pass (remove unused cells and wires).
  9996. Finding unused cells or wires in module \top..
  9997. Removed 0 unused cells and 22 unused wires.
  9998. <suppressed ~1 debug messages>
  9999. 63.35.22. Executing OPT_EXPR pass (perform const folding).
  10000. Optimizing module top.
  10001. 63.35.23. Rerunning OPT passes. (Maybe there is more to do..)
  10002. 63.35.24. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
  10003. Running muxtree optimizer on module \top..
  10004. Creating internal representation of mux trees.
  10005. Evaluating internal representation of mux trees.
  10006. Analyzing evaluation results.
  10007. Removed 0 multiplexer ports.
  10008. <suppressed ~192 debug messages>
  10009. 63.35.25. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  10010. Optimizing cells in module \top.
  10011. Performed a total of 0 changes.
  10012. 63.35.26. Executing OPT_MERGE pass (detect identical cells).
  10013. Finding identical cells in module `\top'.
  10014. Removed a total of 0 cells.
  10015. 63.35.27. Executing OPT_DFF pass (perform DFF optimizations).
  10016. 63.35.28. Executing OPT_CLEAN pass (remove unused cells and wires).
  10017. Finding unused cells or wires in module \top..
  10018. 63.35.29. Executing OPT_EXPR pass (perform const folding).
  10019. Optimizing module top.
  10020. 63.35.30. Finished OPT passes. (There is nothing left to do.)
  10021. 63.36. Executing ICE40_WRAPCARRY pass (wrap carries).
  10022. 63.37. Executing TECHMAP pass (map to technology primitives).
  10023. 63.37.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/techmap.v
  10024. Parsing Verilog input from `/usr/bin/../share/yosys/techmap.v' to AST representation.
  10025. Generating RTLIL representation for module `\_90_simplemap_bool_ops'.
  10026. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'.
  10027. Generating RTLIL representation for module `\_90_simplemap_logic_ops'.
  10028. Generating RTLIL representation for module `\_90_simplemap_compare_ops'.
  10029. Generating RTLIL representation for module `\_90_simplemap_various'.
  10030. Generating RTLIL representation for module `\_90_simplemap_registers'.
  10031. Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'.
  10032. Generating RTLIL representation for module `\_90_shift_shiftx'.
  10033. Generating RTLIL representation for module `\_90_fa'.
  10034. Generating RTLIL representation for module `\_90_lcu_brent_kung'.
  10035. Generating RTLIL representation for module `\_90_alu'.
  10036. Generating RTLIL representation for module `\_90_macc'.
  10037. Generating RTLIL representation for module `\_90_alumacc'.
  10038. Generating RTLIL representation for module `\$__div_mod_u'.
  10039. Generating RTLIL representation for module `\$__div_mod_trunc'.
  10040. Generating RTLIL representation for module `\_90_div'.
  10041. Generating RTLIL representation for module `\_90_mod'.
  10042. Generating RTLIL representation for module `\$__div_mod_floor'.
  10043. Generating RTLIL representation for module `\_90_divfloor'.
  10044. Generating RTLIL representation for module `\_90_modfloor'.
  10045. Generating RTLIL representation for module `\_90_pow'.
  10046. Generating RTLIL representation for module `\_90_pmux'.
  10047. Generating RTLIL representation for module `\_90_demux'.
  10048. Generating RTLIL representation for module `\_90_lut'.
  10049. Successfully finished Verilog frontend.
  10050. 63.37.2. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/ice40/arith_map.v
  10051. Parsing Verilog input from `/usr/bin/../share/yosys/ice40/arith_map.v' to AST representation.
  10052. Generating RTLIL representation for module `\_80_ice40_alu'.
  10053. Successfully finished Verilog frontend.
  10054. 63.37.3. Continuing TECHMAP pass.
  10055. Using extmapper simplemap for cells of type $reduce_or.
  10056. Using extmapper maccmap for cells of type $macc.
  10057. add { 1'0 \cpu_I.decode_to_execute_RS1 [15:0] } * \cpu_I.execute_MulPlugin_bHigh [16] (17x1 bits, signed)
  10058. add $flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2451$1978.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA[0].mul.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.blk.partial[0] [31:16] (16 bits, unsigned)
  10059. packed 1 (1) bits / 1 words into adder tree
  10060. add { 1'0 \cpu_I.decode_to_execute_RS1 [31:16] } * 1'0 (17x1 bits, signed)
  10061. add $flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2477$1990.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA[0].mul.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.blk.partial[0] [31:16] (16 bits, unsigned)
  10062. Using extmapper simplemap for cells of type $mux.
  10063. add { 1'0 \cpu_I.execute_to_memory_MUL_LL } (33 bits, signed)
  10064. add { \cpu_I.execute_to_memory_MUL_HL 16'0000000000000000 } (50 bits, signed)
  10065. add { \cpu_I.execute_to_memory_MUL_LH 16'0000000000000000 } (50 bits, signed)
  10066. Using extmapper simplemap for cells of type $reduce_and.
  10067. Using extmapper simplemap for cells of type $ne.
  10068. Using extmapper simplemap for cells of type $dffe.
  10069. Using extmapper simplemap for cells of type $eq.
  10070. Using extmapper simplemap for cells of type $sdffe.
  10071. add { 1'0 \cpu_I.decode_to_execute_RS1 [31:16] } * \cpu_I.execute_MulPlugin_bHigh [16] (17x1 bits, signed)
  10072. Using extmapper simplemap for cells of type $logic_not.
  10073. Using extmapper simplemap for cells of type $sdff.
  10074. add { \cpu_I.execute_MulPlugin_bHigh [16] \cpu_I.decode_to_execute_RS2 [31:16] } * \cpu_I.execute_MulPlugin_aHigh [16] (17x1 bits, signed)
  10075. Using extmapper simplemap for cells of type $dff.
  10076. add { \cpu_I.execute_MulPlugin_bHigh [16] \cpu_I.decode_to_execute_RS2 [31:16] } * 1'0 (17x1 bits, signed)
  10077. add $flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2451$1978.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.blk.partial[0] [32:16] (17 bits, signed)
  10078. Using extmapper simplemap for cells of type $not.
  10079. Using extmapper simplemap for cells of type $reduce_bool.
  10080. Using extmapper simplemap for cells of type $and.
  10081. Using extmapper simplemap for cells of type $logic_or.
  10082. Using extmapper simplemap for cells of type $logic_and.
  10083. Using template $paramod$103b4016182df467cceab67bcf3e18e6361ec0fd\_80_ice40_alu for cells of type $alu.
  10084. Using template $paramod$ef8fb1849064cca7dc908988762d3374786d9fdb\_80_ice40_alu for cells of type $alu.
  10085. Using extmapper simplemap for cells of type $or.
  10086. Using extmapper simplemap for cells of type $adffe.
  10087. Using template $paramod$8fabc56b80a569262acfc42757a02ca0b8e91278\_90_pmux for cells of type $pmux.
  10088. Using extmapper simplemap for cells of type $adff.
  10089. Using template $paramod$cc1e387d9d5ac1d3f6e6bed180038d9c0ac48d0c\_90_pmux for cells of type $pmux.
  10090. Using template $paramod$645fe0cc96ae5edb83bff90cc2c78f4a20ca3e3c\_90_pmux for cells of type $pmux.
  10091. Using template $paramod$54d740639e1393b22262823179ff783ea9f17a35\_90_pmux for cells of type $pmux.
  10092. Using template $paramod$c5c783b17ab1d780abfad8cfe6563a0a7b47a3b0\_90_pmux for cells of type $pmux.
  10093. Using template $paramod$521ce43182eecb9f60c72393a788160d2c356bf5\_90_pmux for cells of type $pmux.
  10094. Using extmapper simplemap for cells of type $xor.
  10095. Using template $paramod$1eb759649286d7485bd82f4dfc30385bade4b4b3\_80_ice40_alu for cells of type $alu.
  10096. Using template $paramod$6a42b6fefed750f8a1c58eab59479d960557103c\_80_ice40_alu for cells of type $alu.
  10097. Using template $paramod$403d07c18de10cda2ac652a859c56aea81aaf9b5\_80_ice40_alu for cells of type $alu.
  10098. Using template $paramod$3ef7d3dd227da7627a99c5e5a6a4deb817573e39\_80_ice40_alu for cells of type $alu.
  10099. Using template $paramod$32efbfac1c4dc57230cf86180788fdfd12e3b511\_80_ice40_alu for cells of type $alu.
  10100. Using template $paramod$9e063c849228667263119758c3ef2ce4bde04054\_80_ice40_alu for cells of type $alu.
  10101. Using extmapper simplemap for cells of type $sdffce.
  10102. Using template $paramod$fbc7873bff55778c0b3173955b7e4bce1d9d6834\_80_ice40_alu for cells of type $alu.
  10103. add $flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2499$1996.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.blk.last_partial [15:0] (16 bits, signed)
  10104. add $flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2499$1996.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA[0].mul.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.blk.last_partial [15:0] (16 bits, unsigned)
  10105. add $flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2499$1996.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA[0].mul.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.blk.partial[0] [31:16] (16 bits, unsigned)
  10106. Using template $paramod$constmap:6e3026a439ed4a6e7983ca0e910890cc59b2f7b2$paramod$f244f79b7bd028e965812e6cbb9720dcefdc7dda\_90_shift_ops_shr_shl_sshl_sshr for cells of type $sshl.
  10107. Using template $paramod$44a13d10af618e7fbe7b9aad2f6151ffcee1e2fa\_80_ice40_alu for cells of type $alu.
  10108. add { 1'0 \cpu_I.decode_to_execute_RS2 [15:0] } * \cpu_I.execute_MulPlugin_aHigh [16] (17x1 bits, signed)
  10109. add $flatten\cpu_I.$mul$/home/jduchniewicz/Projects/SideHustle/FPGASignalsKS/ice40-playground/projects/riscv_doom/rtl/VexRiscv.v:2477$1990.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.blk.partial[0] [32:16] (17 bits, signed)
  10110. packed 1 (1) bits / 1 words into adder tree
  10111. Using template $paramod$ba2b8c117ce4915aa78c6334bae512cf5c3ff68e\_80_ice40_alu for cells of type $alu.
  10112. Using template $paramod$constmap:87f69c0bea22f84de4bcd0314b57cb19e61b5eb7$paramod$455891ae50d34e43581a517459d55825f76fa58e\_90_shift_ops_shr_shl_sshl_sshr for cells of type $sshr.
  10113. Using template $paramod$57f63e8a3282e053be0430389b09fa050ac7dca0\_90_pmux for cells of type $pmux.
  10114. Using template $paramod$d629d85c8826a74239b9178d1930215a43b0ceb0\_90_pmux for cells of type $pmux.
  10115. Using template $paramod$6df0329addda9228fcc2546de2aaf14ad26c98e1\_80_ice40_alu for cells of type $alu.
  10116. Using template $paramod$97565c3687be688407d1272a293bd9d0ae6852dc\_90_pmux for cells of type $pmux.
  10117. Using template $paramod$c654a831025ee805eb993d5880de10a3d616cd3b\_90_pmux for cells of type $pmux.
  10118. Using template $paramod$78e969f2586efcf3a5b0b0440bcca0db83d5cca2\_80_ice40_alu for cells of type $alu.
  10119. Using template $paramod$175e67c02b86e96b1288b9dc100122520d7240d8\_90_alu for cells of type $alu.
  10120. Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\_90_alu for cells of type $alu.
  10121. Using template $paramod$2af30114e9bd4ccb04dad757b3f0a8f6bf0615b0\_80_ice40_alu for cells of type $alu.
  10122. add \cpu_I._zz_103_ (32 bits, signed)
  10123. add { 1'0 \cpu_I.decode_to_execute_SRC_USE_SUB_LESS } (2 bits, signed)
  10124. add \cpu_I._zz_245_ (32 bits, signed)
  10125. packed 1 (1) bits / 1 words into adder tree
  10126. Using template $paramod$85df5dc01c7df96a7d8e5f1fdf76ce9ac452af63\_90_pmux for cells of type $pmux.
  10127. Using template $paramod$a13703aa027da371a1931fc542d213d7de559b19\_90_pmux for cells of type $pmux.
  10128. Using template $paramod$bf2533632d512eac76dd186c0da49367e29b8e98\_90_pmux for cells of type $pmux.
  10129. Using template $paramod$b098bc6f249c0ac91c4d6e19d54b23c285914115\_90_pmux for cells of type $pmux.
  10130. Using template $paramod$constmap:15e79b306e15a379262200aca23b0d875ae73042$paramod$cedf4aa7cd4baad38a7d2755402136fd292204b2\_90_shift_shiftx for cells of type $shift.
  10131. Analyzing pattern of constant bits for this cell:
  10132. Constant input on bit 0 of port A: 1'1
  10133. Creating constmapped module `$paramod$constmap:a61ca6c0517c83d96a4aebb151c5b77d0d7b5f1b$paramod$cedf4aa7cd4baad38a7d2755402136fd292204b2\_90_shift_shiftx'.
  10134. 63.37.81. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
  10135. Running muxtree optimizer on module $paramod$constmap:a61ca6c0517c83d96a4aebb151c5b77d0d7b5f1b$paramod$cedf4aa7cd4baad38a7d2755402136fd292204b2\_90_shift_shiftx..
  10136. Creating internal representation of mux trees.
  10137. Evaluating internal representation of mux trees.
  10138. Analyzing evaluation results.
  10139. dead port 2/2 on $mux $procmux$31201.
  10140. dead port 2/2 on $mux $procmux$31195.
  10141. Removed 2 multiplexer ports.
  10142. <suppressed ~2414 debug messages>
  10143. 63.37.82. Executing OPT_EXPR pass (perform const folding).
  10144. Optimizing module $paramod$constmap:a61ca6c0517c83d96a4aebb151c5b77d0d7b5f1b$paramod$cedf4aa7cd4baad38a7d2755402136fd292204b2\_90_shift_shiftx.
  10145. <suppressed ~2 debug messages>
  10146. Removed 0 unused cells and 8 unused wires.
  10147. Using template $paramod$constmap:a61ca6c0517c83d96a4aebb151c5b77d0d7b5f1b$paramod$cedf4aa7cd4baad38a7d2755402136fd292204b2\_90_shift_shiftx for cells of type $shift.
  10148. Using template $paramod$403a3c2fa431a154c52a6a5429d7a6260b5d144f\_80_ice40_alu for cells of type $alu.
  10149. Using template $paramod$4598135cf15630e609dba0dc434804e375ac5643\_80_ice40_alu for cells of type $alu.
  10150. Using template $paramod$constmap:4a72d14c58177853799461d6d8b69c1e77949e0a$paramod$282e2f6c0b1116d84b8f8102ddacd7ff760b6794\_90_shift_shiftx for cells of type $shiftx.
  10151. Analyzing pattern of constant bits for this cell:
  10152. Constant input on bit 0 of port A: 1'1
  10153. Constant input on bit 1 of port A: 1'1
  10154. Constant input on bit 2 of port A: 1'0
  10155. Constant input on bit 3 of port A: 1'1
  10156. Constant input on bit 4 of port A: 1'0
  10157. Constant input on bit 5 of port A: 1'0
  10158. Constant input on bit 6 of port A: 1'0
  10159. Constant input on bit 7 of port A: 1'0
  10160. Constant input on bit 8 of port A: 1'1
  10161. Constant input on bit 9 of port A: 1'1
  10162. Constant input on bit 10 of port A: 1'0
  10163. Constant input on bit 11 of port A: 1'1
  10164. Constant input on bit 12 of port A: 1'0
  10165. Constant input on bit 13 of port A: 1'1
  10166. Constant input on bit 14 of port A: 1'1
  10167. Constant input on bit 15 of port A: 1'1
  10168. Constant input on bit 16 of port A: 1'0
  10169. Constant input on bit 17 of port A: 1'0
  10170. Constant input on bit 18 of port A: 1'0
  10171. Constant input on bit 19 of port A: 1'0
  10172. Constant input on bit 20 of port A: 1'0
  10173. Constant input on bit 21 of port A: 1'0
  10174. Constant input on bit 22 of port A: 1'0
  10175. Constant input on bit 23 of port A: 1'0
  10176. Constant input on bit 24 of port A: 1'0
  10177. Constant input on bit 25 of port A: 1'0
  10178. Constant input on bit 26 of port A: 1'0
  10179. Constant input on bit 27 of port A: 1'0
  10180. Constant input on bit 28 of port A: 1'0
  10181. Constant input on bit 29 of port A: 1'0
  10182. Constant input on bit 30 of port A: 1'0
  10183. Constant input on bit 31 of port A: 1'0
  10184. Constant input on bit 0 of port B: 1'0
  10185. Constant input on bit 1 of port B: 1'0
  10186. Constant input on bit 2 of port B: 1'0
  10187. Constant input on bit 5 of port B: 1'0
  10188. Creating constmapped module `$paramod$constmap:3b0683df01c5cef058918510351278881b9fb3fa$paramod$282e2f6c0b1116d84b8f8102ddacd7ff760b6794\_90_shift_shiftx'.
  10189. 63.37.92. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
  10190. Running muxtree optimizer on module $paramod$constmap:3b0683df01c5cef058918510351278881b9fb3fa$paramod$282e2f6c0b1116d84b8f8102ddacd7ff760b6794\_90_shift_shiftx..
  10191. Creating internal representation of mux trees.
  10192. Evaluating internal representation of mux trees.
  10193. Analyzing evaluation results.
  10194. dead port 1/2 on $mux $procmux$31292.
  10195. dead port 1/2 on $mux $procmux$31289.
  10196. dead port 2/2 on $mux $procmux$31289.
  10197. dead port 1/2 on $mux $procmux$31286.
  10198. dead port 1/2 on $mux $procmux$31283.
  10199. dead port 2/2 on $mux $procmux$31283.
  10200. dead port 1/2 on $mux $procmux$31280.
  10201. dead port 1/2 on $mux $procmux$31277.
  10202. dead port 2/2 on $mux $procmux$31277.
  10203. dead port 2/2 on $mux $procmux$31271.
  10204. dead port 2/2 on $mux $procmux$31265.
  10205. Removed 11 multiplexer ports.
  10206. <suppressed ~266 debug messages>
  10207. 63.37.93. Executing OPT_EXPR pass (perform const folding).
  10208. Optimizing module $paramod$constmap:3b0683df01c5cef058918510351278881b9fb3fa$paramod$282e2f6c0b1116d84b8f8102ddacd7ff760b6794\_90_shift_shiftx.
  10209. <suppressed ~3 debug messages>
  10210. Removed 0 unused cells and 14 unused wires.
  10211. Using template $paramod$constmap:3b0683df01c5cef058918510351278881b9fb3fa$paramod$282e2f6c0b1116d84b8f8102ddacd7ff760b6794\_90_shift_shiftx for cells of type $shiftx.
  10212. Using template $paramod$8742280fdebca84e1c87f2a86ed84f62d558f4cc\_80_ice40_alu for cells of type $alu.
  10213. Using template $paramod$d4fbf181fbf74ad2c33c84c81168c20bdbe88f93\_80_ice40_alu for cells of type $alu.
  10214. Using template $paramod$c2e415ef15bc3ccd2723772353a6b450d3d76206\_90_pmux for cells of type $pmux.
  10215. Using template $paramod$484d51534650924b7ed4c69e46eed3a56904771f\_80_ice40_alu for cells of type $alu.
  10216. Using template $paramod$c15aee48e26a714a5f3d013cd387ce60c26c192c\_80_ice40_alu for cells of type $alu.
  10217. Using template $paramod$dc04b7d98e503a7bab16fce2df70e6e2c5ca34d6\_80_ice40_alu for cells of type $alu.
  10218. Using template $paramod$53700bbee849b2010ad0b60a61ccd204a10e24ca\_80_ice40_alu for cells of type $alu.
  10219. Using template $paramod$99587ee084ca1d98447afdf42785390b4a3bd9c2\_80_ice40_alu for cells of type $alu.
  10220. Using extmapper simplemap for cells of type $pos.
  10221. Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu.
  10222. Using template $paramod\_90_fa\WIDTH=32'00000000000000000000000000110100 for cells of type $fa.
  10223. Using template $paramod$cc23faa4302ed2a717f4fd0b175ca8ec4dc7bbd3\_80_ice40_alu for cells of type $alu.
  10224. Using template $paramod$3bb72ad0665cdca279bbc49ed6a39f403f16497f\_80_ice40_alu for cells of type $alu.
  10225. Using template $paramod$12350b8c8422a70d10b7db4eaae1202a7148b784\_80_ice40_alu for cells of type $alu.
  10226. Using template $paramod\_90_fa\WIDTH=32'00000000000000000000000000010000 for cells of type $fa.
  10227. No more expansions possible.
  10228. <suppressed ~1565 debug messages>
  10229. 63.38. Executing OPT pass (performing simple optimizations).
  10230. 63.38.1. Executing OPT_EXPR pass (perform const folding).
  10231. Optimizing module top.
  10232. <suppressed ~3426 debug messages>
  10233. 63.38.2. Executing OPT_MERGE pass (detect identical cells).
  10234. Finding identical cells in module `\top'.
  10235. <suppressed ~3045 debug messages>
  10236. Removed a total of 1015 cells.
  10237. 63.38.3. Executing OPT_DFF pass (perform DFF optimizations).
  10238. Handling D = Q on $auto$ff.cc:266:slice$31667 ($_SDFFCE_PP0P_) from module top (conecting SRST instead).
  10239. Handling D = Q on $auto$ff.cc:266:slice$31668 ($_SDFFCE_PP0P_) from module top (conecting SRST instead).
  10240. Handling D = Q on $auto$ff.cc:266:slice$31669 ($_SDFFCE_PP0P_) from module top (conecting SRST instead).
  10241. Handling D = Q on $auto$ff.cc:266:slice$31670 ($_SDFFCE_PP0P_) from module top (conecting SRST instead).
  10242. Handling D = Q on $auto$ff.cc:266:slice$31671 ($_SDFFCE_PP0P_) from module top (conecting SRST instead).
  10243. Handling D = Q on $auto$ff.cc:266:slice$31672 ($_SDFFCE_PP0P_) from module top (conecting SRST instead).
  10244. Setting constant 0-bit at position 0 on $auto$ff.cc:266:slice$31672 ($_DFFE_PP_) from module top.
  10245. Setting constant 0-bit at position 0 on $auto$ff.cc:266:slice$31671 ($_DFFE_PP_) from module top.
  10246. Setting constant 0-bit at position 0 on $auto$ff.cc:266:slice$31670 ($_DFFE_PP_) from module top.
  10247. Setting constant 0-bit at position 0 on $auto$ff.cc:266:slice$31669 ($_DFFE_PP_) from module top.
  10248. Setting constant 0-bit at position 0 on $auto$ff.cc:266:slice$31668 ($_DFFE_PP_) from module top.
  10249. Setting constant 0-bit at position 0 on $auto$ff.cc:266:slice$31667 ($_DFFE_PP_) from module top.
  10250. 63.38.4. Executing OPT_CLEAN pass (remove unused cells and wires).
  10251. Finding unused cells or wires in module \top..
  10252. Removed 888 unused cells and 3444 unused wires.
  10253. <suppressed ~893 debug messages>
  10254. 63.38.5. Rerunning OPT passes. (Removed registers in this run.)
  10255. 63.38.6. Executing OPT_EXPR pass (perform const folding).
  10256. Optimizing module top.
  10257. <suppressed ~10 debug messages>
  10258. 63.38.7. Executing OPT_MERGE pass (detect identical cells).
  10259. Finding identical cells in module `\top'.
  10260. Removed a total of 0 cells.
  10261. 63.38.8. Executing OPT_DFF pass (perform DFF optimizations).
  10262. Adding SRST signal on $auto$ff.cc:266:slice$31688 ($_DFF_P_) from module top (D = $auto$alumacc.cc:485:replace_alu$22037.Y [5], Q = \vid_I.pp_addr_cur_1 [5], rval = 1'0).
  10263. Adding SRST signal on $auto$ff.cc:266:slice$31687 ($_DFF_P_) from module top (D = $auto$alumacc.cc:485:replace_alu$22037.Y [4], Q = \vid_I.pp_addr_cur_1 [4], rval = 1'0).
  10264. Adding SRST signal on $auto$ff.cc:266:slice$31686 ($_DFF_P_) from module top (D = $auto$alumacc.cc:485:replace_alu$22037.Y [3], Q = \vid_I.pp_addr_cur_1 [3], rval = 1'0).
  10265. Adding SRST signal on $auto$ff.cc:266:slice$31685 ($_DFF_P_) from module top (D = $auto$alumacc.cc:485:replace_alu$22037.Y [2], Q = \vid_I.pp_addr_cur_1 [2], rval = 1'0).
  10266. Adding SRST signal on $auto$ff.cc:266:slice$31684 ($_DFF_P_) from module top (D = $auto$alumacc.cc:485:replace_alu$22037.Y [1], Q = \vid_I.pp_addr_cur_1 [1], rval = 1'0).
  10267. Adding SRST signal on $auto$ff.cc:266:slice$31683 ($_DFF_P_) from module top (D = $auto$alumacc.cc:485:replace_alu$22037.Y [0], Q = \vid_I.pp_addr_cur_1 [0], rval = 1'0).
  10268. Adding SRST signal on $auto$ff.cc:266:slice$30544 ($_DFFE_PP_) from module top (D = $flatten\memctrl_I.$procmux$5681.Y_B [1], Q = \memctrl_I.so_mode [1], rval = 1'1).
  10269. Adding SRST signal on $auto$ff.cc:266:slice$30543 ($_DFFE_PP_) from module top (D = $flatten\memctrl_I.$procmux$5681.Y_B [0], Q = \memctrl_I.so_mode [0], rval = 1'1).
  10270. Adding SRST signal on $auto$ff.cc:266:slice$30541 ($_DFFE_PP_) from module top (D = \memctrl_I.state [1], Q = \memctrl_I.so_dst [0], rval = 1'0).
  10271. 63.38.9. Executing OPT_CLEAN pass (remove unused cells and wires).
  10272. Finding unused cells or wires in module \top..
  10273. Removed 9 unused cells and 1 unused wires.
  10274. <suppressed ~10 debug messages>
  10275. 63.38.10. Rerunning OPT passes. (Removed registers in this run.)
  10276. 63.38.11. Executing OPT_EXPR pass (perform const folding).
  10277. Optimizing module top.
  10278. 63.38.12. Executing OPT_MERGE pass (detect identical cells).
  10279. Finding identical cells in module `\top'.
  10280. Removed a total of 0 cells.
  10281. 63.38.13. Executing OPT_DFF pass (perform DFF optimizations).
  10282. 63.38.14. Executing OPT_CLEAN pass (remove unused cells and wires).
  10283. Finding unused cells or wires in module \top..
  10284. 63.38.15. Finished fast OPT passes.
  10285. 63.39. Executing ICE40_OPT pass (performing simple optimizations).
  10286. 63.39.1. Running ICE40 specific optimizations.
  10287. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$21980.slice[0].carry: CO=\memctrl_I.so_cnt [1]
  10288. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$21980.slice[10].carry: CO=$auto$alumacc.cc:485:replace_alu$21980.C [10]
  10289. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$21980.slice[11].carry: CO=$auto$alumacc.cc:485:replace_alu$21980.C [11]
  10290. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$21980.slice[12].carry: CO=$auto$alumacc.cc:485:replace_alu$21980.C [12]
  10291. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$21980.slice[13].carry: CO=$auto$alumacc.cc:485:replace_alu$21980.C [13]
  10292. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$21980.slice[14].carry: CO=$auto$alumacc.cc:485:replace_alu$21980.C [14]
  10293. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$21980.slice[15].carry: CO=$auto$alumacc.cc:485:replace_alu$21980.C [15]
  10294. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$21980.slice[16].carry: CO=$auto$alumacc.cc:485:replace_alu$21980.C [16]
  10295. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$21980.slice[17].carry: CO=$auto$alumacc.cc:485:replace_alu$21980.C [17]
  10296. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$21980.slice[18].carry: CO=$auto$alumacc.cc:485:replace_alu$21980.C [18]
  10297. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$21980.slice[19].carry: CO=$auto$alumacc.cc:485:replace_alu$21980.C [19]
  10298. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$21980.slice[1].carry: CO=$auto$alumacc.cc:485:replace_alu$21980.C [1]
  10299. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$21980.slice[20].carry: CO=$auto$alumacc.cc:485:replace_alu$21980.C [20]
  10300. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$21980.slice[21].carry: CO=$auto$alumacc.cc:485:replace_alu$21980.C [21]
  10301. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$21980.slice[22].carry: CO=$auto$alumacc.cc:485:replace_alu$21980.C [22]
  10302. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$21980.slice[23].carry: CO=$auto$alumacc.cc:485:replace_alu$21980.C [23]
  10303. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$21980.slice[24].carry: CO=$auto$alumacc.cc:485:replace_alu$21980.C [24]
  10304. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$21980.slice[25].carry: CO=$auto$alumacc.cc:485:replace_alu$21980.C [25]
  10305. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$21980.slice[26].carry: CO=$auto$alumacc.cc:485:replace_alu$21980.C [26]
  10306. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$21980.slice[27].carry: CO=$auto$alumacc.cc:485:replace_alu$21980.C [27]
  10307. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$21980.slice[28].carry: CO=$auto$alumacc.cc:485:replace_alu$21980.C [28]
  10308. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$21980.slice[29].carry: CO=$auto$alumacc.cc:485:replace_alu$21980.C [29]
  10309. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$21980.slice[2].carry: CO=$auto$alumacc.cc:485:replace_alu$21980.C [2]
  10310. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$21980.slice[30].carry: CO=$auto$alumacc.cc:485:replace_alu$21980.C [30]
  10311. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$21980.slice[3].carry: CO=$auto$alumacc.cc:485:replace_alu$21980.C [3]
  10312. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$21980.slice[4].carry: CO=$auto$alumacc.cc:485:replace_alu$21980.C [4]
  10313. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$21980.slice[5].carry: CO=$auto$alumacc.cc:485:replace_alu$21980.C [5]
  10314. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$21980.slice[6].carry: CO=$auto$alumacc.cc:485:replace_alu$21980.C [6]
  10315. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$21980.slice[7].carry: CO=$auto$alumacc.cc:485:replace_alu$21980.C [7]
  10316. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$21980.slice[8].carry: CO=$auto$alumacc.cc:485:replace_alu$21980.C [8]
  10317. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$21980.slice[9].carry: CO=$auto$alumacc.cc:485:replace_alu$21980.C [9]
  10318. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$22046.slice[0].carry: CO=\cache_I.cnt_ofs [0]
  10319. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$22106.slice[0].carry: CO=\vid_I.tgen_I.v_cnt [0]
  10320. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$22109.slice[0].carry: CO=\vid_I.tgen_I.h_cnt [0]
  10321. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$22112.slice[0].carry: CO=\cpu_I.CsrPlugin_jumpInterface_valid
  10322. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$22112.slice[1].carry: CO=$auto$alumacc.cc:485:replace_alu$22112.C [1]
  10323. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$22118.slice[0].carry: CO=\cpu_I.IBusCachedPlugin_cache.lineLoader_wordIndex [0]
  10324. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$22121.slice[0].carry: CO=\cpu_I.IBusCachedPlugin_cache.lineLoader_flushCounter [0]
  10325. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$22124.slice[0].carry: CO=$auto$alumacc.cc:485:replace_alu$22124.BB [0]
  10326. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$22124.slice[2].carry: CO=$auto$alumacc.cc:485:replace_alu$22124.C [2]
  10327. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$22127.slice[0].carry: CO=$auto$alumacc.cc:485:replace_alu$22127.BB [0]
  10328. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$22127.slice[2].carry: CO=$auto$alumacc.cc:485:replace_alu$22127.C [2]
  10329. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$22130.slice[0].carry: CO=\memctrl_I.xfer_cnt [0]
  10330. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$22133.slice[0].carry: CO=\memctrl_I.pause_cnt [0]
  10331. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$22136.slice[0].carry: CO=\memctrl_I.so_cnt [2]
  10332. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$22145.slice[0].carry: CO=\uart_I.uart_div [1]
  10333. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$22145.slice[11].carry: CO=$auto$alumacc.cc:485:replace_alu$22145.C [11]
  10334. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$22148.slice[0].carry: CO=\uart_I.uart_rx_I.div_cnt [0]
  10335. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$22151.slice[0].carry: CO=\uart_I.uart_rx_I.bit_cnt [0]
  10336. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$22157.slice[0].carry: CO=\uart_I.uart_rx_fifo_I.ram_rd_addr [0]
  10337. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$22163.slice[0].carry: CO=\uart_I.uart_rx_fifo_I.ram_wr_addr [0]
  10338. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$22166.slice[0].carry: CO=\uart_I.uart_tx_I.div_cnt [0]
  10339. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$22169.slice[0].carry: CO=\uart_I.uart_tx_I.bit_cnt [0]
  10340. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$22172.slice[0].carry: CO=\uart_I.uart_tx_fifo_I.ram_rd_addr [0]
  10341. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$alumacc.cc:485:replace_alu$22178.slice[0].carry: CO=\uart_I.uart_tx_fifo_I.ram_wr_addr [0]
  10342. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22676.slice[0].carry: CO=1'0
  10343. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22676.slice[16].carry: CO=1'0
  10344. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22685.slice[0].carry: CO=1'0
  10345. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22883.slice[0].carry: CO=1'0
  10346. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$27029.slice[0].carry: CO=1'0
  10347. 63.39.2. Executing OPT_EXPR pass (perform const folding).
  10348. Optimizing module top.
  10349. <suppressed ~72 debug messages>
  10350. 63.39.3. Executing OPT_MERGE pass (detect identical cells).
  10351. Finding identical cells in module `\top'.
  10352. <suppressed ~93 debug messages>
  10353. Removed a total of 31 cells.
  10354. 63.39.4. Executing OPT_DFF pass (perform DFF optimizations).
  10355. 63.39.5. Executing OPT_CLEAN pass (remove unused cells and wires).
  10356. Finding unused cells or wires in module \top..
  10357. Removed 5 unused cells and 11 unused wires.
  10358. <suppressed ~6 debug messages>
  10359. 63.39.6. Rerunning OPT passes. (Removed registers in this run.)
  10360. 63.39.7. Running ICE40 specific optimizations.
  10361. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22676.slice[1].carry: CO=1'0
  10362. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22685.slice[1].carry: CO=1'0
  10363. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22883.slice[1].carry: CO=1'0
  10364. 63.39.8. Executing OPT_EXPR pass (perform const folding).
  10365. Optimizing module top.
  10366. <suppressed ~2 debug messages>
  10367. 63.39.9. Executing OPT_MERGE pass (detect identical cells).
  10368. Finding identical cells in module `\top'.
  10369. Removed a total of 0 cells.
  10370. 63.39.10. Executing OPT_DFF pass (perform DFF optimizations).
  10371. 63.39.11. Executing OPT_CLEAN pass (remove unused cells and wires).
  10372. Finding unused cells or wires in module \top..
  10373. 63.39.12. Rerunning OPT passes. (Removed registers in this run.)
  10374. 63.39.13. Running ICE40 specific optimizations.
  10375. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22676.slice[2].carry: CO=1'0
  10376. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22685.slice[2].carry: CO=1'0
  10377. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22883.slice[2].carry: CO=1'0
  10378. 63.39.14. Executing OPT_EXPR pass (perform const folding).
  10379. Optimizing module top.
  10380. 63.39.15. Executing OPT_MERGE pass (detect identical cells).
  10381. Finding identical cells in module `\top'.
  10382. Removed a total of 0 cells.
  10383. 63.39.16. Executing OPT_DFF pass (perform DFF optimizations).
  10384. 63.39.17. Executing OPT_CLEAN pass (remove unused cells and wires).
  10385. Finding unused cells or wires in module \top..
  10386. 63.39.18. Rerunning OPT passes. (Removed registers in this run.)
  10387. 63.39.19. Running ICE40 specific optimizations.
  10388. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22676.slice[3].carry: CO=1'0
  10389. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22685.slice[3].carry: CO=1'0
  10390. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22883.slice[3].carry: CO=1'0
  10391. 63.39.20. Executing OPT_EXPR pass (perform const folding).
  10392. Optimizing module top.
  10393. 63.39.21. Executing OPT_MERGE pass (detect identical cells).
  10394. Finding identical cells in module `\top'.
  10395. Removed a total of 0 cells.
  10396. 63.39.22. Executing OPT_DFF pass (perform DFF optimizations).
  10397. 63.39.23. Executing OPT_CLEAN pass (remove unused cells and wires).
  10398. Finding unused cells or wires in module \top..
  10399. 63.39.24. Rerunning OPT passes. (Removed registers in this run.)
  10400. 63.39.25. Running ICE40 specific optimizations.
  10401. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22676.slice[4].carry: CO=1'0
  10402. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22685.slice[4].carry: CO=1'0
  10403. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22883.slice[4].carry: CO=1'0
  10404. 63.39.26. Executing OPT_EXPR pass (perform const folding).
  10405. Optimizing module top.
  10406. 63.39.27. Executing OPT_MERGE pass (detect identical cells).
  10407. Finding identical cells in module `\top'.
  10408. Removed a total of 0 cells.
  10409. 63.39.28. Executing OPT_DFF pass (perform DFF optimizations).
  10410. 63.39.29. Executing OPT_CLEAN pass (remove unused cells and wires).
  10411. Finding unused cells or wires in module \top..
  10412. 63.39.30. Rerunning OPT passes. (Removed registers in this run.)
  10413. 63.39.31. Running ICE40 specific optimizations.
  10414. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22676.slice[5].carry: CO=1'0
  10415. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22685.slice[5].carry: CO=1'0
  10416. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22883.slice[5].carry: CO=1'0
  10417. 63.39.32. Executing OPT_EXPR pass (perform const folding).
  10418. Optimizing module top.
  10419. 63.39.33. Executing OPT_MERGE pass (detect identical cells).
  10420. Finding identical cells in module `\top'.
  10421. Removed a total of 0 cells.
  10422. 63.39.34. Executing OPT_DFF pass (perform DFF optimizations).
  10423. 63.39.35. Executing OPT_CLEAN pass (remove unused cells and wires).
  10424. Finding unused cells or wires in module \top..
  10425. 63.39.36. Rerunning OPT passes. (Removed registers in this run.)
  10426. 63.39.37. Running ICE40 specific optimizations.
  10427. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22676.slice[6].carry: CO=1'0
  10428. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22685.slice[6].carry: CO=1'0
  10429. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22883.slice[6].carry: CO=1'0
  10430. 63.39.38. Executing OPT_EXPR pass (perform const folding).
  10431. Optimizing module top.
  10432. 63.39.39. Executing OPT_MERGE pass (detect identical cells).
  10433. Finding identical cells in module `\top'.
  10434. Removed a total of 0 cells.
  10435. 63.39.40. Executing OPT_DFF pass (perform DFF optimizations).
  10436. 63.39.41. Executing OPT_CLEAN pass (remove unused cells and wires).
  10437. Finding unused cells or wires in module \top..
  10438. 63.39.42. Rerunning OPT passes. (Removed registers in this run.)
  10439. 63.39.43. Running ICE40 specific optimizations.
  10440. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22676.slice[7].carry: CO=1'0
  10441. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22685.slice[7].carry: CO=1'0
  10442. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22883.slice[7].carry: CO=1'0
  10443. 63.39.44. Executing OPT_EXPR pass (perform const folding).
  10444. Optimizing module top.
  10445. 63.39.45. Executing OPT_MERGE pass (detect identical cells).
  10446. Finding identical cells in module `\top'.
  10447. Removed a total of 0 cells.
  10448. 63.39.46. Executing OPT_DFF pass (perform DFF optimizations).
  10449. 63.39.47. Executing OPT_CLEAN pass (remove unused cells and wires).
  10450. Finding unused cells or wires in module \top..
  10451. 63.39.48. Rerunning OPT passes. (Removed registers in this run.)
  10452. 63.39.49. Running ICE40 specific optimizations.
  10453. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22676.slice[8].carry: CO=1'0
  10454. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22685.slice[8].carry: CO=1'0
  10455. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22883.slice[8].carry: CO=1'0
  10456. 63.39.50. Executing OPT_EXPR pass (perform const folding).
  10457. Optimizing module top.
  10458. 63.39.51. Executing OPT_MERGE pass (detect identical cells).
  10459. Finding identical cells in module `\top'.
  10460. Removed a total of 0 cells.
  10461. 63.39.52. Executing OPT_DFF pass (perform DFF optimizations).
  10462. 63.39.53. Executing OPT_CLEAN pass (remove unused cells and wires).
  10463. Finding unused cells or wires in module \top..
  10464. 63.39.54. Rerunning OPT passes. (Removed registers in this run.)
  10465. 63.39.55. Running ICE40 specific optimizations.
  10466. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22676.slice[9].carry: CO=1'0
  10467. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22685.slice[9].carry: CO=1'0
  10468. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22883.slice[9].carry: CO=1'0
  10469. 63.39.56. Executing OPT_EXPR pass (perform const folding).
  10470. Optimizing module top.
  10471. 63.39.57. Executing OPT_MERGE pass (detect identical cells).
  10472. Finding identical cells in module `\top'.
  10473. Removed a total of 0 cells.
  10474. 63.39.58. Executing OPT_DFF pass (perform DFF optimizations).
  10475. 63.39.59. Executing OPT_CLEAN pass (remove unused cells and wires).
  10476. Finding unused cells or wires in module \top..
  10477. 63.39.60. Rerunning OPT passes. (Removed registers in this run.)
  10478. 63.39.61. Running ICE40 specific optimizations.
  10479. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22676.slice[10].carry: CO=1'0
  10480. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22685.slice[10].carry: CO=1'0
  10481. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22883.slice[10].carry: CO=1'0
  10482. 63.39.62. Executing OPT_EXPR pass (perform const folding).
  10483. Optimizing module top.
  10484. 63.39.63. Executing OPT_MERGE pass (detect identical cells).
  10485. Finding identical cells in module `\top'.
  10486. Removed a total of 0 cells.
  10487. 63.39.64. Executing OPT_DFF pass (perform DFF optimizations).
  10488. 63.39.65. Executing OPT_CLEAN pass (remove unused cells and wires).
  10489. Finding unused cells or wires in module \top..
  10490. 63.39.66. Rerunning OPT passes. (Removed registers in this run.)
  10491. 63.39.67. Running ICE40 specific optimizations.
  10492. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22676.slice[11].carry: CO=1'0
  10493. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22685.slice[11].carry: CO=1'0
  10494. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22883.slice[11].carry: CO=1'0
  10495. 63.39.68. Executing OPT_EXPR pass (perform const folding).
  10496. Optimizing module top.
  10497. 63.39.69. Executing OPT_MERGE pass (detect identical cells).
  10498. Finding identical cells in module `\top'.
  10499. Removed a total of 0 cells.
  10500. 63.39.70. Executing OPT_DFF pass (perform DFF optimizations).
  10501. 63.39.71. Executing OPT_CLEAN pass (remove unused cells and wires).
  10502. Finding unused cells or wires in module \top..
  10503. 63.39.72. Rerunning OPT passes. (Removed registers in this run.)
  10504. 63.39.73. Running ICE40 specific optimizations.
  10505. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22676.slice[12].carry: CO=1'0
  10506. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22685.slice[12].carry: CO=1'0
  10507. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22883.slice[12].carry: CO=1'0
  10508. 63.39.74. Executing OPT_EXPR pass (perform const folding).
  10509. Optimizing module top.
  10510. 63.39.75. Executing OPT_MERGE pass (detect identical cells).
  10511. Finding identical cells in module `\top'.
  10512. Removed a total of 0 cells.
  10513. 63.39.76. Executing OPT_DFF pass (perform DFF optimizations).
  10514. 63.39.77. Executing OPT_CLEAN pass (remove unused cells and wires).
  10515. Finding unused cells or wires in module \top..
  10516. 63.39.78. Rerunning OPT passes. (Removed registers in this run.)
  10517. 63.39.79. Running ICE40 specific optimizations.
  10518. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22676.slice[13].carry: CO=1'0
  10519. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22685.slice[13].carry: CO=1'0
  10520. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22883.slice[13].carry: CO=1'0
  10521. 63.39.80. Executing OPT_EXPR pass (perform const folding).
  10522. Optimizing module top.
  10523. 63.39.81. Executing OPT_MERGE pass (detect identical cells).
  10524. Finding identical cells in module `\top'.
  10525. Removed a total of 0 cells.
  10526. 63.39.82. Executing OPT_DFF pass (perform DFF optimizations).
  10527. 63.39.83. Executing OPT_CLEAN pass (remove unused cells and wires).
  10528. Finding unused cells or wires in module \top..
  10529. 63.39.84. Rerunning OPT passes. (Removed registers in this run.)
  10530. 63.39.85. Running ICE40 specific optimizations.
  10531. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22676.slice[14].carry: CO=1'0
  10532. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22685.slice[14].carry: CO=1'0
  10533. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22883.slice[14].carry: CO=1'0
  10534. 63.39.86. Executing OPT_EXPR pass (perform const folding).
  10535. Optimizing module top.
  10536. 63.39.87. Executing OPT_MERGE pass (detect identical cells).
  10537. Finding identical cells in module `\top'.
  10538. Removed a total of 0 cells.
  10539. 63.39.88. Executing OPT_DFF pass (perform DFF optimizations).
  10540. 63.39.89. Executing OPT_CLEAN pass (remove unused cells and wires).
  10541. Finding unused cells or wires in module \top..
  10542. 63.39.90. Rerunning OPT passes. (Removed registers in this run.)
  10543. 63.39.91. Running ICE40 specific optimizations.
  10544. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22676.slice[15].carry: CO=1'0
  10545. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22685.slice[15].carry: CO=1'0
  10546. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22883.slice[15].carry: CO=1'0
  10547. 63.39.92. Executing OPT_EXPR pass (perform const folding).
  10548. Optimizing module top.
  10549. 63.39.93. Executing OPT_MERGE pass (detect identical cells).
  10550. Finding identical cells in module `\top'.
  10551. Removed a total of 0 cells.
  10552. 63.39.94. Executing OPT_DFF pass (perform DFF optimizations).
  10553. 63.39.95. Executing OPT_CLEAN pass (remove unused cells and wires).
  10554. Finding unused cells or wires in module \top..
  10555. Removed 0 unused cells and 1 unused wires.
  10556. <suppressed ~1 debug messages>
  10557. 63.39.96. Rerunning OPT passes. (Removed registers in this run.)
  10558. 63.39.97. Running ICE40 specific optimizations.
  10559. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22685.slice[16].carry: CO=1'0
  10560. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22883.slice[16].carry: CO=1'0
  10561. 63.39.98. Executing OPT_EXPR pass (perform const folding).
  10562. Optimizing module top.
  10563. 63.39.99. Executing OPT_MERGE pass (detect identical cells).
  10564. Finding identical cells in module `\top'.
  10565. Removed a total of 0 cells.
  10566. 63.39.100. Executing OPT_DFF pass (perform DFF optimizations).
  10567. 63.39.101. Executing OPT_CLEAN pass (remove unused cells and wires).
  10568. Finding unused cells or wires in module \top..
  10569. Removed 0 unused cells and 1 unused wires.
  10570. <suppressed ~1 debug messages>
  10571. 63.39.102. Rerunning OPT passes. (Removed registers in this run.)
  10572. 63.39.103. Running ICE40 specific optimizations.
  10573. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) top.$auto$maccmap.cc:240:synth$22883.slice[17].carry: CO=1'0
  10574. 63.39.104. Executing OPT_EXPR pass (perform const folding).
  10575. Optimizing module top.
  10576. 63.39.105. Executing OPT_MERGE pass (detect identical cells).
  10577. Finding identical cells in module `\top'.
  10578. <suppressed ~6 debug messages>
  10579. Removed a total of 2 cells.
  10580. 63.39.106. Executing OPT_DFF pass (perform DFF optimizations).
  10581. 63.39.107. Executing OPT_CLEAN pass (remove unused cells and wires).
  10582. Finding unused cells or wires in module \top..
  10583. Removed 0 unused cells and 1 unused wires.
  10584. <suppressed ~1 debug messages>
  10585. 63.39.108. Rerunning OPT passes. (Removed registers in this run.)
  10586. 63.39.109. Running ICE40 specific optimizations.
  10587. 63.39.110. Executing OPT_EXPR pass (perform const folding).
  10588. Optimizing module top.
  10589. 63.39.111. Executing OPT_MERGE pass (detect identical cells).
  10590. Finding identical cells in module `\top'.
  10591. Removed a total of 0 cells.
  10592. 63.39.112. Executing OPT_DFF pass (perform DFF optimizations).
  10593. 63.39.113. Executing OPT_CLEAN pass (remove unused cells and wires).
  10594. Finding unused cells or wires in module \top..
  10595. 63.39.114. Finished OPT passes. (There is nothing left to do.)
  10596. 63.40. Executing DFFLEGALIZE pass (convert FFs to types supported by the target).
  10597. 63.41. Executing TECHMAP pass (map to technology primitives).
  10598. 63.41.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/ice40/ff_map.v
  10599. Parsing Verilog input from `/usr/bin/../share/yosys/ice40/ff_map.v' to AST representation.
  10600. Generating RTLIL representation for module `\$_DFF_N_'.
  10601. Generating RTLIL representation for module `\$_DFF_P_'.
  10602. Generating RTLIL representation for module `\$_DFFE_NP_'.
  10603. Generating RTLIL representation for module `\$_DFFE_PP_'.
  10604. Generating RTLIL representation for module `\$_DFF_NP0_'.
  10605. Generating RTLIL representation for module `\$_DFF_NP1_'.
  10606. Generating RTLIL representation for module `\$_DFF_PP0_'.
  10607. Generating RTLIL representation for module `\$_DFF_PP1_'.
  10608. Generating RTLIL representation for module `\$_DFFE_NP0P_'.
  10609. Generating RTLIL representation for module `\$_DFFE_NP1P_'.
  10610. Generating RTLIL representation for module `\$_DFFE_PP0P_'.
  10611. Generating RTLIL representation for module `\$_DFFE_PP1P_'.
  10612. Generating RTLIL representation for module `\$_SDFF_NP0_'.
  10613. Generating RTLIL representation for module `\$_SDFF_NP1_'.
  10614. Generating RTLIL representation for module `\$_SDFF_PP0_'.
  10615. Generating RTLIL representation for module `\$_SDFF_PP1_'.
  10616. Generating RTLIL representation for module `\$_SDFFCE_NP0P_'.
  10617. Generating RTLIL representation for module `\$_SDFFCE_NP1P_'.
  10618. Generating RTLIL representation for module `\$_SDFFCE_PP0P_'.
  10619. Generating RTLIL representation for module `\$_SDFFCE_PP1P_'.
  10620. Successfully finished Verilog frontend.
  10621. 63.41.2. Continuing TECHMAP pass.
  10622. Using template \$_DFFE_PP_ for cells of type $_DFFE_PP_.
  10623. Using template \$_DFF_P_ for cells of type $_DFF_P_.
  10624. Using template \$_SDFFCE_PP0P_ for cells of type $_SDFFCE_PP0P_.
  10625. Using template \$_DFF_PP0_ for cells of type $_DFF_PP0_.
  10626. Using template \$_DFFE_PP0P_ for cells of type $_DFFE_PP0P_.
  10627. Using template \$_DFF_PP1_ for cells of type $_DFF_PP1_.
  10628. Using template \$_SDFF_PP1_ for cells of type $_SDFF_PP1_.
  10629. Using template \$_SDFF_PP0_ for cells of type $_SDFF_PP0_.
  10630. Using template \$_SDFFCE_PP1P_ for cells of type $_SDFFCE_PP1P_.
  10631. Using template \$_DFFE_PP1P_ for cells of type $_DFFE_PP1P_.
  10632. No more expansions possible.
  10633. <suppressed ~1957 debug messages>
  10634. 63.42. Executing OPT_EXPR pass (perform const folding).
  10635. Optimizing module top.
  10636. <suppressed ~6 debug messages>
  10637. 63.43. Executing SIMPLEMAP pass (map simple cells to gate primitives).
  10638. Mapping top.$auto$alumacc.cc:485:replace_alu$22046.slice[0].carry ($lut).
  10639. Mapping top.$auto$alumacc.cc:485:replace_alu$22106.slice[0].carry ($lut).
  10640. Mapping top.$auto$alumacc.cc:485:replace_alu$22109.slice[0].carry ($lut).
  10641. Mapping top.$auto$alumacc.cc:485:replace_alu$22118.slice[0].carry ($lut).
  10642. Mapping top.$auto$alumacc.cc:485:replace_alu$22121.slice[0].carry ($lut).
  10643. Mapping top.$auto$alumacc.cc:485:replace_alu$22124.slice[0].carry ($lut).
  10644. Mapping top.$auto$alumacc.cc:485:replace_alu$22124.slice[2].carry ($lut).
  10645. Mapping top.$auto$alumacc.cc:485:replace_alu$22127.slice[0].carry ($lut).
  10646. Mapping top.$auto$alumacc.cc:485:replace_alu$22127.slice[2].carry ($lut).
  10647. Mapping top.$auto$alumacc.cc:485:replace_alu$22130.slice[0].carry ($lut).
  10648. Mapping top.$auto$alumacc.cc:485:replace_alu$22133.slice[0].carry ($lut).
  10649. Mapping top.$auto$alumacc.cc:485:replace_alu$22136.slice[0].carry ($lut).
  10650. Mapping top.$auto$alumacc.cc:485:replace_alu$22145.slice[0].carry ($lut).
  10651. Mapping top.$auto$alumacc.cc:485:replace_alu$22145.slice[11].carry ($lut).
  10652. Mapping top.$auto$alumacc.cc:485:replace_alu$22148.slice[0].carry ($lut).
  10653. Mapping top.$auto$alumacc.cc:485:replace_alu$22151.slice[0].carry ($lut).
  10654. Mapping top.$auto$alumacc.cc:485:replace_alu$22157.slice[0].carry ($lut).
  10655. Mapping top.$auto$alumacc.cc:485:replace_alu$22163.slice[0].carry ($lut).
  10656. Mapping top.$auto$alumacc.cc:485:replace_alu$22166.slice[0].carry ($lut).
  10657. Mapping top.$auto$alumacc.cc:485:replace_alu$22169.slice[0].carry ($lut).
  10658. Mapping top.$auto$alumacc.cc:485:replace_alu$22172.slice[0].carry ($lut).
  10659. Mapping top.$auto$alumacc.cc:485:replace_alu$22178.slice[0].carry ($lut).
  10660. Mapping top.$auto$maccmap.cc:240:synth$22676.slice[0].carry ($lut).
  10661. Mapping top.$auto$maccmap.cc:240:synth$22676.slice[10].carry ($lut).
  10662. Mapping top.$auto$maccmap.cc:240:synth$22676.slice[11].carry ($lut).
  10663. Mapping top.$auto$maccmap.cc:240:synth$22676.slice[12].carry ($lut).
  10664. Mapping top.$auto$maccmap.cc:240:synth$22676.slice[13].carry ($lut).
  10665. Mapping top.$auto$maccmap.cc:240:synth$22676.slice[14].carry ($lut).
  10666. Mapping top.$auto$maccmap.cc:240:synth$22676.slice[15].carry ($lut).
  10667. Mapping top.$auto$maccmap.cc:240:synth$22676.slice[16].carry ($lut).
  10668. Mapping top.$auto$maccmap.cc:240:synth$22676.slice[1].carry ($lut).
  10669. Mapping top.$auto$maccmap.cc:240:synth$22676.slice[2].carry ($lut).
  10670. Mapping top.$auto$maccmap.cc:240:synth$22676.slice[3].carry ($lut).
  10671. Mapping top.$auto$maccmap.cc:240:synth$22676.slice[4].carry ($lut).
  10672. Mapping top.$auto$maccmap.cc:240:synth$22676.slice[5].carry ($lut).
  10673. Mapping top.$auto$maccmap.cc:240:synth$22676.slice[6].carry ($lut).
  10674. Mapping top.$auto$maccmap.cc:240:synth$22676.slice[7].carry ($lut).
  10675. Mapping top.$auto$maccmap.cc:240:synth$22676.slice[8].carry ($lut).
  10676. Mapping top.$auto$maccmap.cc:240:synth$22676.slice[9].carry ($lut).
  10677. Mapping top.$auto$maccmap.cc:240:synth$22685.slice[0].carry ($lut).
  10678. Mapping top.$auto$maccmap.cc:240:synth$22685.slice[10].carry ($lut).
  10679. Mapping top.$auto$maccmap.cc:240:synth$22685.slice[11].carry ($lut).
  10680. Mapping top.$auto$maccmap.cc:240:synth$22685.slice[12].carry ($lut).
  10681. Mapping top.$auto$maccmap.cc:240:synth$22685.slice[13].carry ($lut).
  10682. Mapping top.$auto$maccmap.cc:240:synth$22685.slice[14].carry ($lut).
  10683. Mapping top.$auto$maccmap.cc:240:synth$22685.slice[15].carry ($lut).
  10684. Mapping top.$auto$maccmap.cc:240:synth$22685.slice[16].carry ($lut).
  10685. Mapping top.$auto$maccmap.cc:240:synth$22685.slice[1].carry ($lut).
  10686. Mapping top.$auto$maccmap.cc:240:synth$22685.slice[2].carry ($lut).
  10687. Mapping top.$auto$maccmap.cc:240:synth$22685.slice[3].carry ($lut).
  10688. Mapping top.$auto$maccmap.cc:240:synth$22685.slice[4].carry ($lut).
  10689. Mapping top.$auto$maccmap.cc:240:synth$22685.slice[5].carry ($lut).
  10690. Mapping top.$auto$maccmap.cc:240:synth$22685.slice[6].carry ($lut).
  10691. Mapping top.$auto$maccmap.cc:240:synth$22685.slice[7].carry ($lut).
  10692. Mapping top.$auto$maccmap.cc:240:synth$22685.slice[8].carry ($lut).
  10693. Mapping top.$auto$maccmap.cc:240:synth$22685.slice[9].carry ($lut).
  10694. Mapping top.$auto$maccmap.cc:240:synth$22883.slice[0].carry ($lut).
  10695. Mapping top.$auto$maccmap.cc:240:synth$22883.slice[10].carry ($lut).
  10696. Mapping top.$auto$maccmap.cc:240:synth$22883.slice[11].carry ($lut).
  10697. Mapping top.$auto$maccmap.cc:240:synth$22883.slice[12].carry ($lut).
  10698. Mapping top.$auto$maccmap.cc:240:synth$22883.slice[13].carry ($lut).
  10699. Mapping top.$auto$maccmap.cc:240:synth$22883.slice[14].carry ($lut).
  10700. Mapping top.$auto$maccmap.cc:240:synth$22883.slice[15].carry ($lut).
  10701. Mapping top.$auto$maccmap.cc:240:synth$22883.slice[16].carry ($lut).
  10702. Mapping top.$auto$maccmap.cc:240:synth$22883.slice[1].carry ($lut).
  10703. Mapping top.$auto$maccmap.cc:240:synth$22883.slice[2].carry ($lut).
  10704. Mapping top.$auto$maccmap.cc:240:synth$22883.slice[3].carry ($lut).
  10705. Mapping top.$auto$maccmap.cc:240:synth$22883.slice[4].carry ($lut).
  10706. Mapping top.$auto$maccmap.cc:240:synth$22883.slice[5].carry ($lut).
  10707. Mapping top.$auto$maccmap.cc:240:synth$22883.slice[6].carry ($lut).
  10708. Mapping top.$auto$maccmap.cc:240:synth$22883.slice[7].carry ($lut).
  10709. Mapping top.$auto$maccmap.cc:240:synth$22883.slice[8].carry ($lut).
  10710. Mapping top.$auto$maccmap.cc:240:synth$22883.slice[9].carry ($lut).
  10711. Mapping top.$auto$maccmap.cc:240:synth$27029.slice[0].carry ($lut).
  10712. 63.44. Executing ICE40_OPT pass (performing simple optimizations).
  10713. 63.44.1. Running ICE40 specific optimizations.
  10714. 63.44.2. Executing OPT_EXPR pass (perform const folding).
  10715. Optimizing module top.
  10716. <suppressed ~1322 debug messages>
  10717. 63.44.3. Executing OPT_MERGE pass (detect identical cells).
  10718. Finding identical cells in module `\top'.
  10719. <suppressed ~2082 debug messages>
  10720. Removed a total of 694 cells.
  10721. 63.44.4. Executing OPT_DFF pass (perform DFF optimizations).
  10722. 63.44.5. Executing OPT_CLEAN pass (remove unused cells and wires).
  10723. Finding unused cells or wires in module \top..
  10724. Removed 51 unused cells and 10340 unused wires.
  10725. <suppressed ~52 debug messages>
  10726. 63.44.6. Rerunning OPT passes. (Removed registers in this run.)
  10727. 63.44.7. Running ICE40 specific optimizations.
  10728. 63.44.8. Executing OPT_EXPR pass (perform const folding).
  10729. Optimizing module top.
  10730. 63.44.9. Executing OPT_MERGE pass (detect identical cells).
  10731. Finding identical cells in module `\top'.
  10732. Removed a total of 0 cells.
  10733. 63.44.10. Executing OPT_DFF pass (perform DFF optimizations).
  10734. 63.44.11. Executing OPT_CLEAN pass (remove unused cells and wires).
  10735. Finding unused cells or wires in module \top..
  10736. 63.44.12. Finished OPT passes. (There is nothing left to do.)
  10737. 63.45. Executing TECHMAP pass (map to technology primitives).
  10738. 63.45.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/ice40/latches_map.v
  10739. Parsing Verilog input from `/usr/bin/../share/yosys/ice40/latches_map.v' to AST representation.
  10740. Generating RTLIL representation for module `\$_DLATCH_N_'.
  10741. Generating RTLIL representation for module `\$_DLATCH_P_'.
  10742. Successfully finished Verilog frontend.
  10743. 63.45.2. Continuing TECHMAP pass.
  10744. No more expansions possible.
  10745. <suppressed ~4 debug messages>
  10746. 63.46. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/ice40/abc9_model.v
  10747. Parsing Verilog input from `/usr/bin/../share/yosys/ice40/abc9_model.v' to AST representation.
  10748. Generating RTLIL representation for module `$__ICE40_CARRY_WRAPPER'.
  10749. Successfully finished Verilog frontend.
  10750. 63.47. Executing ABC9 pass.
  10751. 63.47.1. Executing ABC9_OPS pass (helper functions for ABC9).
  10752. 63.47.2. Executing ABC9_OPS pass (helper functions for ABC9).
  10753. 63.47.3. Executing SCC pass (detecting logic loops).
  10754. Found 0 SCCs in module top.
  10755. Found 0 SCCs.
  10756. 63.47.4. Executing ABC9_OPS pass (helper functions for ABC9).
  10757. 63.47.5. Executing PROC pass (convert processes to netlists).
  10758. 63.47.5.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
  10759. Cleaned up 0 empty switches.
  10760. 63.47.5.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
  10761. Removed a total of 0 dead cases.
  10762. 63.47.5.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
  10763. Removed 0 redundant assignments.
  10764. Promoted 0 assignments to connections.
  10765. 63.47.5.4. Executing PROC_INIT pass (extract init attributes).
  10766. 63.47.5.5. Executing PROC_ARST pass (detect async resets in processes).
  10767. 63.47.5.6. Executing PROC_ROM pass (convert switches to ROMs).
  10768. Converted 0 switches.
  10769. 63.47.5.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
  10770. 63.47.5.8. Executing PROC_DLATCH pass (convert process syncs to latches).
  10771. 63.47.5.9. Executing PROC_DFF pass (convert process syncs to FFs).
  10772. 63.47.5.10. Executing PROC_MEMWR pass (convert process memory writes to cells).
  10773. 63.47.5.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
  10774. Cleaned up 0 empty switches.
  10775. 63.47.5.12. Executing OPT_EXPR pass (perform const folding).
  10776. 63.47.6. Executing TECHMAP pass (map to technology primitives).
  10777. 63.47.6.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/techmap.v
  10778. Parsing Verilog input from `/usr/bin/../share/yosys/techmap.v' to AST representation.
  10779. Generating RTLIL representation for module `\_90_simplemap_bool_ops'.
  10780. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'.
  10781. Generating RTLIL representation for module `\_90_simplemap_logic_ops'.
  10782. Generating RTLIL representation for module `\_90_simplemap_compare_ops'.
  10783. Generating RTLIL representation for module `\_90_simplemap_various'.
  10784. Generating RTLIL representation for module `\_90_simplemap_registers'.
  10785. Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'.
  10786. Generating RTLIL representation for module `\_90_shift_shiftx'.
  10787. Generating RTLIL representation for module `\_90_fa'.
  10788. Generating RTLIL representation for module `\_90_lcu_brent_kung'.
  10789. Generating RTLIL representation for module `\_90_alu'.
  10790. Generating RTLIL representation for module `\_90_macc'.
  10791. Generating RTLIL representation for module `\_90_alumacc'.
  10792. Generating RTLIL representation for module `\$__div_mod_u'.
  10793. Generating RTLIL representation for module `\$__div_mod_trunc'.
  10794. Generating RTLIL representation for module `\_90_div'.
  10795. Generating RTLIL representation for module `\_90_mod'.
  10796. Generating RTLIL representation for module `\$__div_mod_floor'.
  10797. Generating RTLIL representation for module `\_90_divfloor'.
  10798. Generating RTLIL representation for module `\_90_modfloor'.
  10799. Generating RTLIL representation for module `\_90_pow'.
  10800. Generating RTLIL representation for module `\_90_pmux'.
  10801. Generating RTLIL representation for module `\_90_demux'.
  10802. Generating RTLIL representation for module `\_90_lut'.
  10803. Successfully finished Verilog frontend.
  10804. 63.47.6.2. Continuing TECHMAP pass.
  10805. No more expansions possible.
  10806. <suppressed ~140 debug messages>
  10807. 63.47.7. Executing OPT pass (performing simple optimizations).
  10808. 63.47.7.1. Executing OPT_EXPR pass (perform const folding).
  10809. Optimizing module SB_DFFER.
  10810. Optimizing module SB_DFFR.
  10811. Optimizing module SB_DFFS.
  10812. Optimizing module SB_DFFES.
  10813. 63.47.7.2. Executing OPT_MERGE pass (detect identical cells).
  10814. Finding identical cells in module `\SB_DFFER'.
  10815. Finding identical cells in module `\SB_DFFR'.
  10816. Finding identical cells in module `\SB_DFFS'.
  10817. Finding identical cells in module `\SB_DFFES'.
  10818. Removed a total of 0 cells.
  10819. 63.47.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
  10820. Running muxtree optimizer on module \SB_DFFER..
  10821. Creating internal representation of mux trees.
  10822. No muxes found in this module.
  10823. Running muxtree optimizer on module \SB_DFFR..
  10824. Creating internal representation of mux trees.
  10825. No muxes found in this module.
  10826. Running muxtree optimizer on module \SB_DFFS..
  10827. Creating internal representation of mux trees.
  10828. No muxes found in this module.
  10829. Running muxtree optimizer on module \SB_DFFES..
  10830. Creating internal representation of mux trees.
  10831. No muxes found in this module.
  10832. Removed 0 multiplexer ports.
  10833. 63.47.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  10834. Optimizing cells in module \SB_DFFER.
  10835. Optimizing cells in module \SB_DFFR.
  10836. Optimizing cells in module \SB_DFFS.
  10837. Optimizing cells in module \SB_DFFES.
  10838. Performed a total of 0 changes.
  10839. 63.47.7.5. Executing OPT_MERGE pass (detect identical cells).
  10840. Finding identical cells in module `\SB_DFFER'.
  10841. Finding identical cells in module `\SB_DFFR'.
  10842. Finding identical cells in module `\SB_DFFS'.
  10843. Finding identical cells in module `\SB_DFFES'.
  10844. Removed a total of 0 cells.
  10845. 63.47.7.6. Executing OPT_DFF pass (perform DFF optimizations).
  10846. 63.47.7.7. Executing OPT_CLEAN pass (remove unused cells and wires).
  10847. Finding unused cells or wires in module \SB_DFFER..
  10848. Finding unused cells or wires in module \SB_DFFR..
  10849. Finding unused cells or wires in module \SB_DFFS..
  10850. Finding unused cells or wires in module \SB_DFFES..
  10851. 63.47.7.8. Executing OPT_EXPR pass (perform const folding).
  10852. Optimizing module SB_DFFER.
  10853. Optimizing module SB_DFFES.
  10854. Optimizing module SB_DFFR.
  10855. Optimizing module SB_DFFS.
  10856. 63.47.7.9. Finished OPT passes. (There is nothing left to do.)
  10857. 63.47.8. Executing TECHMAP pass (map to technology primitives).
  10858. 63.47.8.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/abc9_map.v
  10859. Parsing Verilog input from `/usr/bin/../share/yosys/abc9_map.v' to AST representation.
  10860. Successfully finished Verilog frontend.
  10861. 63.47.8.2. Continuing TECHMAP pass.
  10862. Using template SB_DFFR for cells of type SB_DFFR.
  10863. Using template SB_DFFS for cells of type SB_DFFS.
  10864. Using template SB_DFFER for cells of type SB_DFFER.
  10865. Using template SB_DFFES for cells of type SB_DFFES.
  10866. No more expansions possible.
  10867. <suppressed ~349 debug messages>
  10868. 63.47.9. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/abc9_model.v
  10869. Parsing Verilog input from `/usr/bin/../share/yosys/abc9_model.v' to AST representation.
  10870. Generating RTLIL representation for module `$__ABC9_DELAY'.
  10871. Generating RTLIL representation for module `$__ABC9_SCC_BREAKER'.
  10872. Generating RTLIL representation for module `$__DFF_N__$abc9_flop'.
  10873. Generating RTLIL representation for module `$__DFF_P__$abc9_flop'.
  10874. Successfully finished Verilog frontend.
  10875. 63.47.10. Executing ABC9_OPS pass (helper functions for ABC9).
  10876. <suppressed ~1665 debug messages>
  10877. 63.47.11. Executing ABC9_OPS pass (helper functions for ABC9).
  10878. 63.47.12. Executing ABC9_OPS pass (helper functions for ABC9).
  10879. <suppressed ~2 debug messages>
  10880. 63.47.13. Executing TECHMAP pass (map to technology primitives).
  10881. 63.47.13.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/techmap.v
  10882. Parsing Verilog input from `/usr/bin/../share/yosys/techmap.v' to AST representation.
  10883. Generating RTLIL representation for module `\_90_simplemap_bool_ops'.
  10884. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'.
  10885. Generating RTLIL representation for module `\_90_simplemap_logic_ops'.
  10886. Generating RTLIL representation for module `\_90_simplemap_compare_ops'.
  10887. Generating RTLIL representation for module `\_90_simplemap_various'.
  10888. Generating RTLIL representation for module `\_90_simplemap_registers'.
  10889. Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'.
  10890. Generating RTLIL representation for module `\_90_shift_shiftx'.
  10891. Generating RTLIL representation for module `\_90_fa'.
  10892. Generating RTLIL representation for module `\_90_lcu_brent_kung'.
  10893. Generating RTLIL representation for module `\_90_alu'.
  10894. Generating RTLIL representation for module `\_90_macc'.
  10895. Generating RTLIL representation for module `\_90_alumacc'.
  10896. Generating RTLIL representation for module `\$__div_mod_u'.
  10897. Generating RTLIL representation for module `\$__div_mod_trunc'.
  10898. Generating RTLIL representation for module `\_90_div'.
  10899. Generating RTLIL representation for module `\_90_mod'.
  10900. Generating RTLIL representation for module `\$__div_mod_floor'.
  10901. Generating RTLIL representation for module `\_90_divfloor'.
  10902. Generating RTLIL representation for module `\_90_modfloor'.
  10903. Generating RTLIL representation for module `\_90_pow'.
  10904. Generating RTLIL representation for module `\_90_pmux'.
  10905. Generating RTLIL representation for module `\_90_demux'.
  10906. Generating RTLIL representation for module `\_90_lut'.
  10907. Successfully finished Verilog frontend.
  10908. 63.47.13.2. Continuing TECHMAP pass.
  10909. Using template $paramod$__ICE40_CARRY_WRAPPER\LUT=16'0110100110010110\I3_IS_CI=1'1 for cells of type $paramod$__ICE40_CARRY_WRAPPER\LUT=16'0110100110010110\I3_IS_CI=1'1.
  10910. Using template $paramod\SB_LUT4\LUT_INIT=16'0110100110010110 for cells of type SB_LUT4.
  10911. Using template SB_CARRY for cells of type SB_CARRY.
  10912. Using extmapper simplemap for cells of type $logic_or.
  10913. Using extmapper simplemap for cells of type $logic_and.
  10914. Using extmapper simplemap for cells of type $mux.
  10915. No more expansions possible.
  10916. <suppressed ~171 debug messages>
  10917. 63.47.14. Executing OPT pass (performing simple optimizations).
  10918. 63.47.14.1. Executing OPT_EXPR pass (perform const folding).
  10919. Optimizing module top.
  10920. <suppressed ~4 debug messages>
  10921. 63.47.14.2. Executing OPT_MERGE pass (detect identical cells).
  10922. Finding identical cells in module `\top'.
  10923. <suppressed ~29 debug messages>
  10924. Removed a total of 12 cells.
  10925. 63.47.14.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
  10926. Running muxtree optimizer on module \top..
  10927. Creating internal representation of mux trees.
  10928. No muxes found in this module.
  10929. Removed 0 multiplexer ports.
  10930. 63.47.14.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  10931. Optimizing cells in module \top.
  10932. Performed a total of 0 changes.
  10933. 63.47.14.5. Executing OPT_MERGE pass (detect identical cells).
  10934. Finding identical cells in module `\top'.
  10935. Removed a total of 0 cells.
  10936. 63.47.14.6. Executing OPT_DFF pass (perform DFF optimizations).
  10937. 63.47.14.7. Executing OPT_CLEAN pass (remove unused cells and wires).
  10938. Finding unused cells or wires in module \top..
  10939. Removed 0 unused cells and 24 unused wires.
  10940. <suppressed ~1 debug messages>
  10941. 63.47.14.8. Executing OPT_EXPR pass (perform const folding).
  10942. Optimizing module top.
  10943. 63.47.14.9. Rerunning OPT passes. (Maybe there is more to do..)
  10944. 63.47.14.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
  10945. Running muxtree optimizer on module \top..
  10946. Creating internal representation of mux trees.
  10947. No muxes found in this module.
  10948. Removed 0 multiplexer ports.
  10949. 63.47.14.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  10950. Optimizing cells in module \top.
  10951. Performed a total of 0 changes.
  10952. 63.47.14.12. Executing OPT_MERGE pass (detect identical cells).
  10953. Finding identical cells in module `\top'.
  10954. Removed a total of 0 cells.
  10955. 63.47.14.13. Executing OPT_DFF pass (perform DFF optimizations).
  10956. 63.47.14.14. Executing OPT_CLEAN pass (remove unused cells and wires).
  10957. Finding unused cells or wires in module \top..
  10958. 63.47.14.15. Executing OPT_EXPR pass (perform const folding).
  10959. Optimizing module top.
  10960. 63.47.14.16. Finished OPT passes. (There is nothing left to do.)
  10961. 63.47.15. Executing AIGMAP pass (map logic to AIG).
  10962. Module top: replaced 7 cells with 43 new cells, skipped 11 cells.
  10963. replaced 2 cell types:
  10964. 2 $_OR_
  10965. 5 $_MUX_
  10966. not replaced 3 cell types:
  10967. 8 $specify2
  10968. 1 $_NOT_
  10969. 2 $_AND_
  10970. 63.47.16. Executing AIGMAP pass (map logic to AIG).
  10971. Module top: replaced 4256 cells with 25701 new cells, skipped 7017 cells.
  10972. replaced 5 cell types:
  10973. 1358 $_OR_
  10974. 237 $_XOR_
  10975. 1 $_ANDNOT_
  10976. 3 $_ORNOT_
  10977. 2657 $_MUX_
  10978. not replaced 47 cell types:
  10979. 8 $print
  10980. 195 $scopeinfo
  10981. 682 $_NOT_
  10982. 1359 $_AND_
  10983. 1 SB_LEDDA_IP
  10984. 1 SB_RGBA_DRV
  10985. 4 SB_SPRAM256KA
  10986. 2 SB_GB
  10987. 651 SB_DFF
  10988. 899 SB_DFFE
  10989. 178 SB_DFFER
  10990. 78 SB_DFFESR
  10991. 23 SB_IO
  10992. 6 $paramod$ba68a0420314c29d51ab7ddbd2ec9361aa29f018\SB_RAM40_4K
  10993. 596 $paramod$__ICE40_CARRY_WRAPPER\LUT=16'0110100110010110\I3_IS_CI=1'1
  10994. 1 $paramod$2949a269df5c3db52940b052c0e157bca6914067\SB_RAM40_4K
  10995. 1 SB_PLL40_2F_PAD
  10996. 1 $paramod$3de16b38bccaf247863fc873bf23c76c0819f04d\SB_RAM40_4K
  10997. 8 $paramod$0995edba3b5d39c0753e657c6041e4ce50627dc5\SB_RAM40_4KNW
  10998. 24 $paramod\SB_LUT4\LUT_INIT=16'1001000000001001
  10999. 8 $paramod\SB_LUT4\LUT_INIT=16'1000000000000000
  11000. 6 $paramod$65b9e5893759870fd62e6b87dc6ba151fdc97e95\SB_RAM40_4K
  11001. 127 SB_DFFR_$abc9_byp
  11002. 2 $paramod\SB_LUT4\LUT_INIT=s32'00000000000000000000010000000000
  11003. 178 SB_DFFER_$abc9_byp
  11004. 29 SB_DFFS_$abc9_byp
  11005. 9 SB_DFFES_$abc9_byp
  11006. 93 SB_DFFSR
  11007. 127 SB_DFFR
  11008. 8 SB_DFFSS
  11009. 29 SB_DFFS
  11010. 6 SB_DFFESS
  11011. 9 SB_DFFES
  11012. 4 SB_MAC16
  11013. 1 $paramod$7d38eb718a152176365f3bb0cbbaf0f0d310aac5\SB_RAM40_4K
  11014. 23 $paramod$__ABC9_DELAY\DELAY=32'00000000000000000000000011111100
  11015. 23 $paramod$__ABC9_DELAY\DELAY=32'00000000000000000000000010111001
  11016. 46 $paramod$__ABC9_DELAY\DELAY=32'00000000000000000000000111110111
  11017. 185 $paramod$__ABC9_DELAY\DELAY=32'00000000000000000000001000010010
  11018. 80 $paramod$__ABC9_DELAY\DELAY=32'00000000000000000000000010001111
  11019. 368 $paramod$__ABC9_DELAY\DELAY=32'00000000000000000000000100110001
  11020. 253 $paramod$__ABC9_DELAY\DELAY=32'00000000000000000000000110101000
  11021. 4 $paramod$__ABC9_DELAY\DELAY=32'00000000000000000000000110010100
  11022. 56 $paramod$__ABC9_DELAY\DELAY=32'00000000000000000000000100001100
  11023. 368 $paramod$__ABC9_DELAY\DELAY=32'00000000000000000000001000000101
  11024. 253 $paramod$__ABC9_DELAY\DELAY=32'00000000000000000000000110000000
  11025. 4 $paramod$__ABC9_DELAY\DELAY=32'00000000000000000000000100100001
  11026. 63.47.16.1. Executing ABC9_OPS pass (helper functions for ABC9).
  11027. 63.47.16.2. Executing ABC9_OPS pass (helper functions for ABC9).
  11028. 63.47.16.3. Executing XAIGER backend.
  11029. <suppressed ~2521 debug messages>
  11030. Extracted 11403 AND gates and 35293 wires from module `top' to a netlist network with 2586 inputs and 3918 outputs.
  11031. 63.47.16.4. Executing ABC9_EXE pass (technology mapping using ABC9).
  11032. 63.47.16.5. Executing ABC9.
  11033. Running ABC command: "abc" -s -f <abc-temp-dir>/abc.script 2>&1
  11034. ABC: ABC command line: "source <abc-temp-dir>/abc.script".
  11035. ABC:
  11036. ABC: + read_lut <abc-temp-dir>/input.lut
  11037. ABC: + read_box <abc-temp-dir>/input.box
  11038. ABC: + &read <abc-temp-dir>/input.xaig
  11039. ABC: + &ps
  11040. ABC: <abc-temp-dir>/input : i/o = 2586/ 3918 and = 10872 lev = 31 (1.52) mem = 0.35 MB box = 2602 bb = 2006
  11041. ABC: Warning: AIG with boxes has internal fanout in 0 complex flops and 77 carries.
  11042. ABC: + &scorr
  11043. ABC: Warning: The network is combinational.
  11044. ABC: + &sweep
  11045. ABC: + &dc2
  11046. ABC: + &dch -f
  11047. ABC: + &ps
  11048. ABC: <abc-temp-dir>/input : i/o = 2586/ 3918 and = 14455 lev = 23 (1.14) mem = 0.40 MB ch = 2024 box = 2596 bb = 2005
  11049. ABC: Warning: AIG with boxes has internal fanout in 0 complex flops and 77 carries.
  11050. ABC: + &if -W 750 -v
  11051. ABC: K = 4. Memory (bytes): Truth = 0. Cut = 48. Obj = 128. Set = 528. CutMin = no
  11052. ABC: Node = 14455. Ch = 1902. Total mem = 4.04 MB. Peak cut mem = 0.17 MB.
  11053. ABC: P: Del = 19951.00. Ar = 3956.0. Edge = 13853. Cut = 83347. T = 0.02 sec
  11054. ABC: P: Del = 19951.00. Ar = 3650.0. Edge = 12984. Cut = 76430. T = 0.02 sec
  11055. ABC: P: Del = 19951.00. Ar = 3312.0. Edge = 10981. Cut = 82624. T = 0.02 sec
  11056. ABC: F: Del = 19951.00. Ar = 3185.0. Edge = 10770. Cut = 84470. T = 0.02 sec
  11057. ABC: A: Del = 19951.00. Ar = 3046.0. Edge = 9664. Cut = 84597. T = 0.04 sec
  11058. ABC: A: Del = 19951.00. Ar = 3044.0. Edge = 9627. Cut = 85191. T = 0.04 sec
  11059. ABC: Total time = 0.17 sec
  11060. ABC: + &write -n <abc-temp-dir>/output.aig
  11061. ABC: + &mfs
  11062. ABC: abc: src/aig/gia/giaMfs.c:388: Gia_ManInsertMfs: Assertion `iLitNew >= 0' failed.
  11063. Warning: ABC: execution of command ""abc" -s -f /tmp/yosys-abc-iC2UQK/abc.script 2>&1" failed: return code 134.
  11064. 63.47.16.6. Executing AIGER frontend.
  11065. <suppressed ~13026 debug messages>
  11066. Removed 13836 unused cells and 29052 unused wires.
  11067. 63.47.16.7. Executing ABC9_OPS pass (helper functions for ABC9).
  11068. ABC RESULTS: $lut cells: 3102
  11069. ABC RESULTS: $paramod$__ICE40_CARRY_WRAPPER\LUT=16'0110100110010110\I3_IS_CI=1'1 cells: 591
  11070. ABC RESULTS: \SB_DFFR_$abc9_byp cells: 126
  11071. ABC RESULTS: \SB_DFFER_$abc9_byp cells: 178
  11072. ABC RESULTS: \SB_DFFS_$abc9_byp cells: 29
  11073. ABC RESULTS: \SB_DFFES_$abc9_byp cells: 9
  11074. ABC RESULTS: input signals: 622
  11075. ABC RESULTS: output signals: 2178
  11076. Removing temp directory.
  11077. 63.47.17. Executing TECHMAP pass (map to technology primitives).
  11078. 63.47.17.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/abc9_unmap.v
  11079. Parsing Verilog input from `/usr/bin/../share/yosys/abc9_unmap.v' to AST representation.
  11080. Generating RTLIL representation for module `\$__DFF_x__$abc9_flop'.
  11081. Generating RTLIL representation for module `\$__ABC9_SCC_BREAKER'.
  11082. Successfully finished Verilog frontend.
  11083. 63.47.17.2. Continuing TECHMAP pass.
  11084. Using template $paramod$__ICE40_CARRY_WRAPPER\LUT=16'0110100110010110\I3_IS_CI=1'1 for cells of type $paramod$__ICE40_CARRY_WRAPPER\LUT=16'0110100110010110\I3_IS_CI=1'1.
  11085. Using template SB_DFFER_$abc9_byp for cells of type SB_DFFER_$abc9_byp.
  11086. Using template SB_DFFR_$abc9_byp for cells of type SB_DFFR_$abc9_byp.
  11087. Using template SB_DFFS_$abc9_byp for cells of type SB_DFFS_$abc9_byp.
  11088. Using template SB_DFFES_$abc9_byp for cells of type SB_DFFES_$abc9_byp.
  11089. Using template $paramod$65b9e5893759870fd62e6b87dc6ba151fdc97e95\SB_RAM40_4K for cells of type $paramod$65b9e5893759870fd62e6b87dc6ba151fdc97e95\SB_RAM40_4K.
  11090. Using template $paramod$ba68a0420314c29d51ab7ddbd2ec9361aa29f018\SB_RAM40_4K for cells of type $paramod$ba68a0420314c29d51ab7ddbd2ec9361aa29f018\SB_RAM40_4K.
  11091. Using template $paramod$2949a269df5c3db52940b052c0e157bca6914067\SB_RAM40_4K for cells of type $paramod$2949a269df5c3db52940b052c0e157bca6914067\SB_RAM40_4K.
  11092. Using template $paramod$0995edba3b5d39c0753e657c6041e4ce50627dc5\SB_RAM40_4KNW for cells of type $paramod$0995edba3b5d39c0753e657c6041e4ce50627dc5\SB_RAM40_4KNW.
  11093. Using template $paramod\SB_LUT4\LUT_INIT=16'1001000000001001 for cells of type $paramod\SB_LUT4\LUT_INIT=16'1001000000001001.
  11094. Using template $paramod\SB_LUT4\LUT_INIT=16'1000000000000000 for cells of type $paramod\SB_LUT4\LUT_INIT=16'1000000000000000.
  11095. Using template $paramod$3de16b38bccaf247863fc873bf23c76c0819f04d\SB_RAM40_4K for cells of type $paramod$3de16b38bccaf247863fc873bf23c76c0819f04d\SB_RAM40_4K.
  11096. Using template $paramod$7d38eb718a152176365f3bb0cbbaf0f0d310aac5\SB_RAM40_4K for cells of type $paramod$7d38eb718a152176365f3bb0cbbaf0f0d310aac5\SB_RAM40_4K.
  11097. Using template $paramod\SB_LUT4\LUT_INIT=s32'00000000000000000000010000000000 for cells of type $paramod\SB_LUT4\LUT_INIT=s32'00000000000000000000010000000000.
  11098. No more expansions possible.
  11099. <suppressed ~1009 debug messages>
  11100. 63.48. Executing ICE40_WRAPCARRY pass (wrap carries).
  11101. 63.49. Executing TECHMAP pass (map to technology primitives).
  11102. 63.49.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/ice40/ff_map.v
  11103. Parsing Verilog input from `/usr/bin/../share/yosys/ice40/ff_map.v' to AST representation.
  11104. Generating RTLIL representation for module `\$_DFF_N_'.
  11105. Generating RTLIL representation for module `\$_DFF_P_'.
  11106. Generating RTLIL representation for module `\$_DFFE_NP_'.
  11107. Generating RTLIL representation for module `\$_DFFE_PP_'.
  11108. Generating RTLIL representation for module `\$_DFF_NP0_'.
  11109. Generating RTLIL representation for module `\$_DFF_NP1_'.
  11110. Generating RTLIL representation for module `\$_DFF_PP0_'.
  11111. Generating RTLIL representation for module `\$_DFF_PP1_'.
  11112. Generating RTLIL representation for module `\$_DFFE_NP0P_'.
  11113. Generating RTLIL representation for module `\$_DFFE_NP1P_'.
  11114. Generating RTLIL representation for module `\$_DFFE_PP0P_'.
  11115. Generating RTLIL representation for module `\$_DFFE_PP1P_'.
  11116. Generating RTLIL representation for module `\$_SDFF_NP0_'.
  11117. Generating RTLIL representation for module `\$_SDFF_NP1_'.
  11118. Generating RTLIL representation for module `\$_SDFF_PP0_'.
  11119. Generating RTLIL representation for module `\$_SDFF_PP1_'.
  11120. Generating RTLIL representation for module `\$_SDFFCE_NP0P_'.
  11121. Generating RTLIL representation for module `\$_SDFFCE_NP1P_'.
  11122. Generating RTLIL representation for module `\$_SDFFCE_PP0P_'.
  11123. Generating RTLIL representation for module `\$_SDFFCE_PP1P_'.
  11124. Successfully finished Verilog frontend.
  11125. 63.49.2. Continuing TECHMAP pass.
  11126. No more expansions possible.
  11127. <suppressed ~22 debug messages>
  11128. Removed 954 unused cells and 44249 unused wires.
  11129. 63.50. Executing OPT_LUT pass (optimize LUTs).
  11130. Discovering LUTs.
  11131. Number of LUTs: 3661
  11132. 1-LUT 58
  11133. 2-LUT 534
  11134. 3-LUT 2070
  11135. 4-LUT 999
  11136. with \SB_CARRY (#0) 518
  11137. with \SB_CARRY (#1) 520
  11138. Eliminating LUTs.
  11139. Number of LUTs: 3661
  11140. 1-LUT 58
  11141. 2-LUT 534
  11142. 3-LUT 2070
  11143. 4-LUT 999
  11144. with \SB_CARRY (#0) 518
  11145. with \SB_CARRY (#1) 520
  11146. Combining LUTs.
  11147. Number of LUTs: 3573
  11148. 1-LUT 58
  11149. 2-LUT 500
  11150. 3-LUT 1940
  11151. 4-LUT 1075
  11152. with \SB_CARRY (#0) 518
  11153. with \SB_CARRY (#1) 520
  11154. Eliminated 0 LUTs.
  11155. Combined 88 LUTs.
  11156. <suppressed ~19774 debug messages>
  11157. 63.51. Executing TECHMAP pass (map to technology primitives).
  11158. 63.51.1. Executing Verilog-2005 frontend: /usr/bin/../share/yosys/ice40/cells_map.v
  11159. Parsing Verilog input from `/usr/bin/../share/yosys/ice40/cells_map.v' to AST representation.
  11160. Generating RTLIL representation for module `\$lut'.
  11161. Successfully finished Verilog frontend.
  11162. 63.51.2. Continuing TECHMAP pass.
  11163. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11001010 for cells of type $lut.
  11164. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'1000 for cells of type $lut.
  11165. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'0100 for cells of type $lut.
  11166. Using template $paramod$873c285bdccf0ac2b60d2304ea5cd14bf211d2a6\$lut for cells of type $lut.
  11167. Using template $paramod$a010528dfa56506a075642ed88f758b6719a77f1\$lut for cells of type $lut.
  11168. Using template $paramod$8e44661def013b6bf9fe6f8b049ef2c838d749f9\$lut for cells of type $lut.
  11169. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'1110 for cells of type $lut.
  11170. Using template $paramod$9e354de8d358bf081aa0c089488ea3bc5b7c2fd9\$lut for cells of type $lut.
  11171. Using template $paramod$4789582d00084c3344b7a6dacf516efd46244876\$lut for cells of type $lut.
  11172. Using template $paramod$8d7a8d6e3356de09670738ba85f2c6b874f6b06d\$lut for cells of type $lut.
  11173. Using template $paramod$df196ed0a1da5c4a58c5e08a1dac304fd3fccaab\$lut for cells of type $lut.
  11174. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01000000 for cells of type $lut.
  11175. Using template $paramod$1241d759e3df4cac11dc7c99c36b0d1b07f7a673\$lut for cells of type $lut.
  11176. Using template $paramod$c71ed138d834112b80a85f4478e2e21f72e5c48b\$lut for cells of type $lut.
  11177. Using template $paramod$b4410865e8124402796f9dfbf73ef8d279fdbd08\$lut for cells of type $lut.
  11178. Using template $paramod$59f2a3e232df3029c8bc36978b9bbe72a71dfb5a\$lut for cells of type $lut.
  11179. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00000100 for cells of type $lut.
  11180. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'0001 for cells of type $lut.
  11181. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00001110 for cells of type $lut.
  11182. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00010000 for cells of type $lut.
  11183. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'1011 for cells of type $lut.
  11184. Using template $paramod$fca001e3e0b52158a872e76e56c01ec10dfbb1de\$lut for cells of type $lut.
  11185. Using template $paramod$7d35f3eb4056e6484203c99fe42cfcf1dfaba704\$lut for cells of type $lut.
  11186. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10110000 for cells of type $lut.
  11187. Using template $paramod$b4f85a6321a00b090afc4e21d68e7b99eb94d149\$lut for cells of type $lut.
  11188. Using template $paramod$571404c0889eaf57f492cb5e37f8acb5df5852f9\$lut for cells of type $lut.
  11189. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00000001 for cells of type $lut.
  11190. Using template $paramod$8da02996bc6ce025fcc2ce1dafd66f4b38a423f1\$lut for cells of type $lut.
  11191. Using template $paramod$f3e1547c4b47e64c590e75cf09078b2507c8cc75\$lut for cells of type $lut.
  11192. Using template $paramod$865395c0228487a64a8e4011cecafc2c64b79f2b\$lut for cells of type $lut.
  11193. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00000010 for cells of type $lut.
  11194. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10101100 for cells of type $lut.
  11195. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'0010 for cells of type $lut.
  11196. Using template $paramod$cdd0df38422365e6219ff27ee00f3f08c803e942\$lut for cells of type $lut.
  11197. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01110000 for cells of type $lut.
  11198. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'1001 for cells of type $lut.
  11199. Using template $paramod$272652f6c6fbe9a75eff76e45cc7e2788835518b\$lut for cells of type $lut.
  11200. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10000000 for cells of type $lut.
  11201. Using template $paramod$52953750219effadf43093a566baf492fdd6b6c8\$lut for cells of type $lut.
  11202. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11111110 for cells of type $lut.
  11203. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01001100 for cells of type $lut.
  11204. Using template $paramod$4b2297966ddb718657b80566604f97685ffc0120\$lut for cells of type $lut.
  11205. Using template $paramod$fb5ee0bdef1c4e74aaf1fd8efae98b46a2f5e564\$lut for cells of type $lut.
  11206. Using template $paramod$097592bb16245531f0716c5ddb18d7090f9c7d9d\$lut for cells of type $lut.
  11207. Using template $paramod$f8f63b209b7230e81958663ff24fef1613156af7\$lut for cells of type $lut.
  11208. Using template $paramod$6e46ec5a196ba1a24b8e69ab094cadc07c13ac1f\$lut for cells of type $lut.
  11209. Using template $paramod$ead66ba22839f96e739c8f1b5a09bc1717b3be02\$lut for cells of type $lut.
  11210. Using template $paramod$3b56205e0e57b3ea26d80fc7983017f83663129e\$lut for cells of type $lut.
  11211. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10001111 for cells of type $lut.
  11212. Using template $paramod$fd904e9e35cfd343a9df248824bd3f1408724879\$lut for cells of type $lut.
  11213. Using template $paramod$7d58cd79f2fe2d2c2cb33a80d00be3f8c42b5e57\$lut for cells of type $lut.
  11214. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'1101 for cells of type $lut.
  11215. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00100000 for cells of type $lut.
  11216. Using template $paramod$5dc745bb48e2cf535179547ba13f0fe5364d6d54\$lut for cells of type $lut.
  11217. Using template $paramod$3a4f629a30905d3a448d2b9104042184fc100182\$lut for cells of type $lut.
  11218. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10111010 for cells of type $lut.
  11219. Using template $paramod$973818279bc95792902f3c09371fd2407d04a2a5\$lut for cells of type $lut.
  11220. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01101100 for cells of type $lut.
  11221. Using template $paramod$f9813472aa48e533b3838c6f2316dc2e78c66111\$lut for cells of type $lut.
  11222. Using template $paramod$179512a187da069f3b79ef6612a41e494e7d54b6\$lut for cells of type $lut.
  11223. Using template $paramod$7d7d10d01ad0b0f84c295373b1fe3864889e6539\$lut for cells of type $lut.
  11224. Using template $paramod$47a8214374025465e226fa66bee690ff33268a25\$lut for cells of type $lut.
  11225. Using template $paramod$cb3f11b3dc41fb411db0ebc8b103ddb7d046633c\$lut for cells of type $lut.
  11226. Using template $paramod$ba7b4568c306470a7f204c239212739869e234a1\$lut for cells of type $lut.
  11227. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11100000 for cells of type $lut.
  11228. Using template $paramod$1aac0860da5a035d87455cf51c9a0f07f9d345b6\$lut for cells of type $lut.
  11229. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10111000 for cells of type $lut.
  11230. Using template $paramod$b9d8b7e91a2c68da033af948ea0bd8bdebbaf6b2\$lut for cells of type $lut.
  11231. Using template $paramod$02fbe8c67d33eabc42a06d471f5fbd85b121dbcc\$lut for cells of type $lut.
  11232. Using template $paramod$79b0a1936afde10c31186b63f39698d9b41269bf\$lut for cells of type $lut.
  11233. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'0110 for cells of type $lut.
  11234. Using template $paramod$bcd0ec8486cd042d1327ec39d9c0f6f57473bc07\$lut for cells of type $lut.
  11235. Using template $paramod$9e45b1a8f5d89c07bcbb75a2bb1c598231b04feb\$lut for cells of type $lut.
  11236. Using template $paramod$2ea69c779d6c1b79ac5a87b0d1523c67d5628dba\$lut for cells of type $lut.
  11237. Using template $paramod$b27efe94af524608e2c158786bdf6c13e4c8b578\$lut for cells of type $lut.
  11238. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00100111 for cells of type $lut.
  11239. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11011000 for cells of type $lut.
  11240. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11100100 for cells of type $lut.
  11241. Using template $paramod$4da2968a38813be585b46e20e9ee8670b201e6eb\$lut for cells of type $lut.
  11242. Using template $paramod$d980e96aaa8fd1a44dd0a77b7b61a6fcf6e1f9d5\$lut for cells of type $lut.
  11243. Using template $paramod$fcff9a7b1687e357a40264efcefe8443c8b2971a\$lut for cells of type $lut.
  11244. Using template $paramod$4586fa76bc179636508b7a3d387ddbd6225a9b95\$lut for cells of type $lut.
  11245. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00011011 for cells of type $lut.
  11246. Using template $paramod$e6a488add0b5a2d742e2ae29f62ce7616e04271d\$lut for cells of type $lut.
  11247. Using template $paramod$a1d323730045824cfc84bb9f4ee8031f1c4dcc9e\$lut for cells of type $lut.
  11248. Using template $paramod$a245cf5846ca9615c0f9e135de4c8f203f82e8ac\$lut for cells of type $lut.
  11249. Using template $paramod$2955ab75367a3dc9d6f50d3655eebcd4f615031f\$lut for cells of type $lut.
  11250. Using template $paramod$5c7d886f3b88971ac55fed4bca034a87bf180f7d\$lut for cells of type $lut.
  11251. Using template $paramod$234fd643079033ba0cbc98ff572df9b7b7a0dc86\$lut for cells of type $lut.
  11252. Using template $paramod$b27270e54531f78fcd95aa06eed49d923f0efb9f\$lut for cells of type $lut.
  11253. Using template $paramod$101238f3d8d49ab12a9b49a2f01cd503b26e9c61\$lut for cells of type $lut.
  11254. Using template $paramod$243c00f5eb9faa1d5ce3478fdc389a56070781f8\$lut for cells of type $lut.
  11255. Using template $paramod$fbed19fb84ee7c8a884778d28a96daea96245184\$lut for cells of type $lut.
  11256. Using template $paramod$ff74d3b36221c7c7b417c242545ab45c7d96a8ff\$lut for cells of type $lut.
  11257. Using template $paramod$037be5c00d8a02858cdb1ab049b58a0133287ff1\$lut for cells of type $lut.
  11258. Using template $paramod$8494168726d27c2200605afcf1fb7470bf987857\$lut for cells of type $lut.
  11259. Using template $paramod$cad45b6c9da81941161a13849773fe2ed4bc1c6f\$lut for cells of type $lut.
  11260. Using template $paramod$8614da24b3846fe751594d00fba789cfcb7b874c\$lut for cells of type $lut.
  11261. Using template $paramod$b26fbfdb68e98cf016d61a8611b449e9f4a30f3c\$lut for cells of type $lut.
  11262. Using template $paramod$62e34d236b5cf9e50e7481784c0097067a15fba4\$lut for cells of type $lut.
  11263. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10001011 for cells of type $lut.
  11264. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00110101 for cells of type $lut.
  11265. Using template $paramod$8d08395e9a4e4cded27c9198dd6b7fb30a5dc6be\$lut for cells of type $lut.
  11266. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00001000 for cells of type $lut.
  11267. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01001110 for cells of type $lut.
  11268. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11101010 for cells of type $lut.
  11269. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10001101 for cells of type $lut.
  11270. Using template $paramod$b2e8d279775d333b39e310bd45fd5952acdde290\$lut for cells of type $lut.
  11271. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'0111 for cells of type $lut.
  11272. Using template $paramod$a584b7b837e345f02b84b59cd30fcecc11fb5f26\$lut for cells of type $lut.
  11273. Using template $paramod$31bf24a0bd5adeee9622243032714595f96813a2\$lut for cells of type $lut.
  11274. Using template $paramod$65d5928f10d93820ad360cabb53aaf31b165496d\$lut for cells of type $lut.
  11275. Using template $paramod$53ce561f80f32d4298a3beadc88b6c5c78293221\$lut for cells of type $lut.
  11276. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11101100 for cells of type $lut.
  11277. Using template $paramod$6b0849254d6c87461fb93e37cc18f089f61eb912\$lut for cells of type $lut.
  11278. Using template $paramod$c6b00b6e5a45587dd2a405086c5dc32a5a05c4c3\$lut for cells of type $lut.
  11279. Using template $paramod$e4cede61517c69f4283b34833b70389aba0ba4b3\$lut for cells of type $lut.
  11280. Using template $paramod$013e6b6a4353b046ff7459503710c79f47324c2a\$lut for cells of type $lut.
  11281. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10000001 for cells of type $lut.
  11282. Using template $paramod$4282def8dbd6df3d1248ad282c629bee684502c2\$lut for cells of type $lut.
  11283. Using template $paramod$626c926c090c24ceb89df275614206a0a54168a8\$lut for cells of type $lut.
  11284. Using template $paramod$5e9374f44a27c3f8a1c38af244ec43ceb4fb8d4f\$lut for cells of type $lut.
  11285. Using template $paramod$f82f61ce77e6e9727709cafaabfd337936f75346\$lut for cells of type $lut.
  11286. Using template $paramod$25445fb9dd8fc77490980c6bd2e9dbc53c6e84a5\$lut for cells of type $lut.
  11287. Using template $paramod$182db0642215a33a838908353a4a183e32659a91\$lut for cells of type $lut.
  11288. Using template $paramod$e77dfcebfafd1b28481271247adc84662b57a60b\$lut for cells of type $lut.
  11289. Using template $paramod$12d55b60f0f4993cdeef74f2f65f385722841c5f\$lut for cells of type $lut.
  11290. Using template $paramod$b2192df6f90569fea4015d0a6658bdc192199f95\$lut for cells of type $lut.
  11291. Using template $paramod$29f8e8d81860939642ad82bb36af3cbb544c3dea\$lut for cells of type $lut.
  11292. Using template $paramod$5321e04f7ce32c091123c3570ab562efb1c81402\$lut for cells of type $lut.
  11293. Using template $paramod$1d0525724ac068b5dfb483a798d6d5f207b20d29\$lut for cells of type $lut.
  11294. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01011100 for cells of type $lut.
  11295. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01110010 for cells of type $lut.
  11296. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10110001 for cells of type $lut.
  11297. Using template $paramod$f5f41ee5d60dede31a2b59f58ec46b167939d713\$lut for cells of type $lut.
  11298. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00010111 for cells of type $lut.
  11299. Using template $paramod$3331a91b4e24483a258fc0d47474cffbd93ab577\$lut for cells of type $lut.
  11300. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00000110 for cells of type $lut.
  11301. Using template $paramod$ce3956c966acb67743cb55a9100788a51ece0f5f\$lut for cells of type $lut.
  11302. Using template $paramod$e9c77024ca501b890c641f9c0b10e27242db8730\$lut for cells of type $lut.
  11303. Using template $paramod$c558182ca6649a2d62585dbabdcbd4e932559c7e\$lut for cells of type $lut.
  11304. Using template $paramod$359fe4e746656bf9c72aecaff84fc7bdea9f55a5\$lut for cells of type $lut.
  11305. Using template $paramod$ba7c22fadfbf9ee7abcb895a21403114111dd201\$lut for cells of type $lut.
  11306. Using template $paramod$19e5b38cca183d8b6b3a15d20dc995c09cd71893\$lut for cells of type $lut.
  11307. Using template $paramod$9ea238b3c4036add2bd96e5aaac8768e1ad77c5a\$lut for cells of type $lut.
  11308. Using template $paramod$7fcc2f13195f27c397064377984d87a90c06749d\$lut for cells of type $lut.
  11309. Using template $paramod$59848369e5f408d15e0c8c710ff689c98ce02999\$lut for cells of type $lut.
  11310. Using template $paramod$1843b3c15f2447d117e2d5de9b00f791ef5f9fa3\$lut for cells of type $lut.
  11311. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01110101 for cells of type $lut.
  11312. Using template $paramod$480d3b9fc7c6a57575657fd8f0dc2a86c4cc650e\$lut for cells of type $lut.
  11313. Using template $paramod$658b9ed803f0d3d335616d3858b53e0a2522f1e8\$lut for cells of type $lut.
  11314. Using template $paramod$afceeb21a88aad210a7a4582fbc4377c30be6ab4\$lut for cells of type $lut.
  11315. Using template $paramod$39825c5ed3d135e502be79829033166f1762d78b\$lut for cells of type $lut.
  11316. Using template $paramod$a4640096cbef09c4ef8613155a589c40164ac034\$lut for cells of type $lut.
  11317. Using template $paramod$f9df0bb8fc3cbb332d575e165ec04d3cfd4c90ca\$lut for cells of type $lut.
  11318. Using template $paramod$ad3a97108c9f4d10f8acfa309b668b9455d3d733\$lut for cells of type $lut.
  11319. Using template $paramod$338ce46cf7ff44b9974887dd2adee6c4e0530bed\$lut for cells of type $lut.
  11320. Using template $paramod$c5b694ec89d7629b942ccf6a9be1d39e24f8edec\$lut for cells of type $lut.
  11321. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11010000 for cells of type $lut.
  11322. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00101010 for cells of type $lut.
  11323. Using template $paramod$c0db8f8b81aa2496df7fc609f2c3005b47ee2ccd\$lut for cells of type $lut.
  11324. Using template $paramod$c5479cb3b02237832e868d4808b3a7f1be08f618\$lut for cells of type $lut.
  11325. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11001110 for cells of type $lut.
  11326. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11110010 for cells of type $lut.
  11327. Using template $paramod$279a8d961e6b2ded8450bee8ed637cb9efa31f02\$lut for cells of type $lut.
  11328. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01001111 for cells of type $lut.
  11329. Using template $paramod$3a0a392069bc969f34c65c546a8c56fbbb67e282\$lut for cells of type $lut.
  11330. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10100011 for cells of type $lut.
  11331. Using template $paramod$d76df6204e5e08a70e04785415e78377888545e3\$lut for cells of type $lut.
  11332. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01101010 for cells of type $lut.
  11333. Using template $paramod$a3cdc1eb771a2c6a16f64da161e11100ac409d2b\$lut for cells of type $lut.
  11334. Using template $paramod$bba54c1ef87367812b4c15f4aed5ac70773df775\$lut for cells of type $lut.
  11335. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00101111 for cells of type $lut.
  11336. Using template $paramod$a59b6c8d81194b59764286a4118e90f9abac65dc\$lut for cells of type $lut.
  11337. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01010011 for cells of type $lut.
  11338. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11010001 for cells of type $lut.
  11339. Using template $paramod$a03ef989f8f4e1878ce2f5c4e0e3d2dfb54307ef\$lut for cells of type $lut.
  11340. Using template $paramod$6b5883288025142ea7fae07f3b28110cd4680dda\$lut for cells of type $lut.
  11341. Using template $paramod$2bbec90035bea8ace991b30dd6d61930d1c61b49\$lut for cells of type $lut.
  11342. Using template $paramod$46ca4a7f696642c56ce23381d0e2a69ca11e7103\$lut for cells of type $lut.
  11343. Using template $paramod$640f0b255c4baeb1d5ed3ee07d5b4acf52609838\$lut for cells of type $lut.
  11344. Using template $paramod$498daa9936ffa1c0b12d774cacc95a35d14b818e\$lut for cells of type $lut.
  11345. Using template $paramod$723be7177967d6866729df0ff463a602326a012e\$lut for cells of type $lut.
  11346. Using template $paramod$df6b12cebabc3b2db650658c5e894d03a346e968\$lut for cells of type $lut.
  11347. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11110001 for cells of type $lut.
  11348. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11011111 for cells of type $lut.
  11349. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00010011 for cells of type $lut.
  11350. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000001\LUT=2'01 for cells of type $lut.
  11351. Using template $paramod$556f1f8248d46fa51b5b76abd5030284b37d6d0e\$lut for cells of type $lut.
  11352. Using template $paramod$46df0bdce53054d48e1bf3b89777e624402baad3\$lut for cells of type $lut.
  11353. Using template $paramod$18df3812bc12364e5ebcb6c3ed05c0294e4c26fc\$lut for cells of type $lut.
  11354. Using template $paramod$728af64da11d42500d51a71dd7007ac25b15b46a\$lut for cells of type $lut.
  11355. Using template $paramod$4e79aa6839e287ee36e65fa83c13a532a028e9cd\$lut for cells of type $lut.
  11356. Using template $paramod$27dd7ea71d2126c74d85758e5a06b7f432d9242f\$lut for cells of type $lut.
  11357. Using template $paramod$c1a19a87ccbbb03d43a72335db63f692ddf82cc1\$lut for cells of type $lut.
  11358. Using template $paramod$be48d952fcad8a16b8d84daa4c48a3065f343e5e\$lut for cells of type $lut.
  11359. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11011100 for cells of type $lut.
  11360. Using template $paramod$c2ec04e79a837992e22a44516a441e33767962c2\$lut for cells of type $lut.
  11361. Using template $paramod$364c9ffbffac467d60dfec81bba4e18476c15602\$lut for cells of type $lut.
  11362. Using template $paramod$bb4fff1cc3b827238aa40993cafede1c5beecbe3\$lut for cells of type $lut.
  11363. Using template $paramod$608f40069c27841a5b3bdf03643a34bdc8974072\$lut for cells of type $lut.
  11364. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11100010 for cells of type $lut.
  11365. Using template $paramod$30305e55a780880b9c824fe3509a4d981acb0f2b\$lut for cells of type $lut.
  11366. Using template $paramod$2f35f125a78690286f0cd2faecbaee9c64828b65\$lut for cells of type $lut.
  11367. Using template $paramod$ea01c267d60de3df2a073e256dd58614b0b52c59\$lut for cells of type $lut.
  11368. Using template $paramod$a699ad34be768465e9f62cdbd92e381326cc035a\$lut for cells of type $lut.
  11369. Using template $paramod$0f19b1c588a47c675d00132b243b5e3308ffab5d\$lut for cells of type $lut.
  11370. Using template $paramod$6e4a86e6f1a5dc8f826898a131e83cdba4a4fc9e\$lut for cells of type $lut.
  11371. Using template $paramod$6e238df02989b317f10820a22773676e71120644\$lut for cells of type $lut.
  11372. Using template $paramod$619b7c9a4d44585e10b42f7fb79b16304cca97c5\$lut for cells of type $lut.
  11373. Using template $paramod$e51a8a571bee774247b38f52d6e85fd62ae52cea\$lut for cells of type $lut.
  11374. Using template $paramod$70030fad4752898f91dbdc976f7643d169393c6b\$lut for cells of type $lut.
  11375. Using template $paramod$e5cad3f70ef8abd514139dd032da350013fc446a\$lut for cells of type $lut.
  11376. Using template $paramod$a0aea495a7ec615204e185eb69340453c5633d1c\$lut for cells of type $lut.
  11377. Using template $paramod$068092ddede495d8462ffe530e6d91711913edbc\$lut for cells of type $lut.
  11378. Using template $paramod$d21d214a5aa271f2d9da3f90f22432c0ecee130f\$lut for cells of type $lut.
  11379. Using template $paramod$02750f8d568bd99efbda01449a05e084a3143ca8\$lut for cells of type $lut.
  11380. Using template $paramod$9fcf2314b06bf8475a41a06506d87acad1afbbaf\$lut for cells of type $lut.
  11381. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00010101 for cells of type $lut.
  11382. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00011101 for cells of type $lut.
  11383. Using template $paramod$e2d96f36ef28053ecd27167cd95b944485ac3146\$lut for cells of type $lut.
  11384. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00001101 for cells of type $lut.
  11385. Using template $paramod$1bb2fc47b457abe7e28b98cfa3441b6432237f90\$lut for cells of type $lut.
  11386. Using template $paramod$270f983928553715955cf08a11086b798a43d244\$lut for cells of type $lut.
  11387. Using template $paramod$c3eebc324c24bdb439fa93c1973646b7d6c15a01\$lut for cells of type $lut.
  11388. Using template $paramod$fcdc75950e9c9127acf485657090feabcdb3115f\$lut for cells of type $lut.
  11389. Using template $paramod$b600d182ae966d09f33a746441e104587fe7a58f\$lut for cells of type $lut.
  11390. Using template $paramod$f6718da5409ec8636fab31113c774a3123f56b0b\$lut for cells of type $lut.
  11391. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11101000 for cells of type $lut.
  11392. Using template $paramod$e2abc3b706ab86fd7c0d14a17f66f1e597465517\$lut for cells of type $lut.
  11393. Using template $paramod$42c7f7e0577b90a637faf761b61988640dc1e9f6\$lut for cells of type $lut.
  11394. Using template $paramod$a642d9cfd5ba13532c60b90e27156eef10bdd135\$lut for cells of type $lut.
  11395. Using template $paramod$325e90edf97670f9dea57833ae1f51a5e8bcddea\$lut for cells of type $lut.
  11396. Using template $paramod$9238b4f918408168668f80b2f347ff8fe515d0e4\$lut for cells of type $lut.
  11397. Using template $paramod$6d6beead1425af15cf78b27fd9b11b41b5d4bce8\$lut for cells of type $lut.
  11398. Using template $paramod$35369ee2661bc6f22afa7fd33e082ebba93672ba\$lut for cells of type $lut.
  11399. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10100110 for cells of type $lut.
  11400. Using template $paramod$74190755306950a81a07803293f7549508f6f157\$lut for cells of type $lut.
  11401. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00101110 for cells of type $lut.
  11402. Using template $paramod$3f330f3f236f8a0c8630b339a705c122dda8a3af\$lut for cells of type $lut.
  11403. Using template $paramod$a555129d1bdb0d7959981d04023ff8433a552b93\$lut for cells of type $lut.
  11404. Using template $paramod$6051cc942ebe6def12ad03e74fc57fd19331d317\$lut for cells of type $lut.
  11405. Using template $paramod$a5516fc31d1e552de2435200bb732b4d4ad63a9c\$lut for cells of type $lut.
  11406. Using template $paramod$95f7039f046b84b6f96cadfc318eec9c1ebbb1a9\$lut for cells of type $lut.
  11407. Using template $paramod$30c7bb594369ca20ff4ff844ba6ed3179f45572d\$lut for cells of type $lut.
  11408. Using template $paramod$c6a0421f5b5114b68e9782f0585d571421cf8f01\$lut for cells of type $lut.
  11409. Using template $paramod$7dc80f6db7113f8d3efb3affd3151d83c6b5c052\$lut for cells of type $lut.
  11410. Using template $paramod$92aa09275b3191bf9ceb0407a1940a21ad9187ab\$lut for cells of type $lut.
  11411. Using template $paramod$33ccc8f22b89035b8d52d2a174a85382f436cc07\$lut for cells of type $lut.
  11412. Using template $paramod$e49f6e3576ef1a6d2f58c54414dbb786af8cc869\$lut for cells of type $lut.
  11413. Using template $paramod$3ed438b31cd3dcd93a3c8d3415e659937e220c54\$lut for cells of type $lut.
  11414. Using template $paramod$9d65a3530a0c54a9611b149a2a5bd69c99184f7d\$lut for cells of type $lut.
  11415. Using template $paramod$992bdc10cff2c6edd722994f0e1044bc863f79f7\$lut for cells of type $lut.
  11416. Using template $paramod$a6ef2a845efd4ff0d96bc4fd2f06cc3516fa63ff\$lut for cells of type $lut.
  11417. Using template $paramod$da51ef318da4444412f84f5c19623c98c27988e0\$lut for cells of type $lut.
  11418. Using template $paramod$09deb89cf77b6e37f6ed7fef8d797dc05c0b2eee\$lut for cells of type $lut.
  11419. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01101111 for cells of type $lut.
  11420. Using template $paramod$5a621b016c894274d07edef48c49b401a15fd796\$lut for cells of type $lut.
  11421. Using template $paramod$9d707d218adbd63b6f9a0c79d7ee037306fb6296\$lut for cells of type $lut.
  11422. Using template $paramod$ba7f31f246a278c41fa0648a6e0512f63185dec0\$lut for cells of type $lut.
  11423. Using template $paramod$a5dd9ee10fc2202a29791f7d68d4afcce241aee5\$lut for cells of type $lut.
  11424. Using template $paramod$92c3899764cd8074859d6a5a5b733cffe8a391b3\$lut for cells of type $lut.
  11425. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01110100 for cells of type $lut.
  11426. Using template $paramod$d3a3ddc7575971ca62210d5a8f545d7e1ae72a48\$lut for cells of type $lut.
  11427. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00101000 for cells of type $lut.
  11428. Using template $paramod$22a17f102d8eb29f9e3f67afc5da9acc7c1e8867\$lut for cells of type $lut.
  11429. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00001011 for cells of type $lut.
  11430. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10010110 for cells of type $lut.
  11431. Using template $paramod$5766b753e513aa2393ffc25ef94ebc79dc098484\$lut for cells of type $lut.
  11432. Using template $paramod$232aa2e56e6d9def4d9d4ceb69f9fbf5154e7af6\$lut for cells of type $lut.
  11433. Using template $paramod$0fa6c93fee22246f217b8b1fb39f21b5cc1cdd4e\$lut for cells of type $lut.
  11434. Using template $paramod$2aa716f9a4c5591c2aa6059f9b8a14d113f28078\$lut for cells of type $lut.
  11435. Using template $paramod$90bf02bfbc9ec8907e9d716c05e921b93d4705fd\$lut for cells of type $lut.
  11436. Using template $paramod$eeb94fcd8e5392649fe04244642520b1ad9644c4\$lut for cells of type $lut.
  11437. Using template $paramod$ab2e45f7a350a5d7d54d88d8019d5256ae32568f\$lut for cells of type $lut.
  11438. Using template $paramod$d151c38cd9b2f723ca2e7bae80e30ea6d32d7878\$lut for cells of type $lut.
  11439. Using template $paramod$20d76b8deb3530e72461aafa0917e952ed06d0b7\$lut for cells of type $lut.
  11440. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00110001 for cells of type $lut.
  11441. Using template $paramod$027b71830bd0fbfb04ad11206c5a0de76ed9d3f5\$lut for cells of type $lut.
  11442. Using template $paramod$573269cdf5f92479a3d66b4e920186d363a31a37\$lut for cells of type $lut.
  11443. Using template $paramod$e8b805c60b05d29dea83383ec9e8df8657d8e0fa\$lut for cells of type $lut.
  11444. Using template $paramod$a7c07944e10969b2e1fd563a5b72f89493cb3705\$lut for cells of type $lut.
  11445. Using template $paramod$fb2de0338fd9cb56279bec3d2d5d229fcea1942b\$lut for cells of type $lut.
  11446. Using template $paramod$703a13a751e631ef123f38f7d2125aeabec0f94c\$lut for cells of type $lut.
  11447. Using template $paramod$ec48a966498c043d93f34c3316787ddea9cedda3\$lut for cells of type $lut.
  11448. Using template $paramod$6b7c9c56fc2a32a479d463d5f3b0d3f4673b67f1\$lut for cells of type $lut.
  11449. Using template $paramod$c796465325e8612408ffd6216ec3001cb65cf6eb\$lut for cells of type $lut.
  11450. Using template $paramod$bab0ea0d717fb03593996e2a9f716c39db2520fb\$lut for cells of type $lut.
  11451. Using template $paramod$053427f7f5ea07d59a8194fc808f0dbdb8dee48b\$lut for cells of type $lut.
  11452. Using template $paramod$e8b1383c6901b56df73ac402d78a5e0a42461be0\$lut for cells of type $lut.
  11453. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11111000 for cells of type $lut.
  11454. Using template $paramod$4f8066b1ac1b63eb4f02643acff28e860271e033\$lut for cells of type $lut.
  11455. Using template $paramod$3ea5e1aff67d5158d4abe40f7c4e0ca909124912\$lut for cells of type $lut.
  11456. Using template $paramod$348e2e3c2386524c4c07656cb22e89d0405fecdc\$lut for cells of type $lut.
  11457. Using template $paramod$ff7561cfb7d3ae7112e6974b98c96c3d891737ce\$lut for cells of type $lut.
  11458. Using template $paramod$c84fab5e7b37c95087ffba9e140088af3811754c\$lut for cells of type $lut.
  11459. Using template $paramod$9ce83c401f07863ef6c07aa36141bf86d010bac8\$lut for cells of type $lut.
  11460. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10111111 for cells of type $lut.
  11461. Using template $paramod$1644affdabe7f65febd25ca1c4d1e050be54e54f\$lut for cells of type $lut.
  11462. Using template $paramod$a1d56211abfa1aaa7a2cc9b3a3197892923d914b\$lut for cells of type $lut.
  11463. Using template $paramod$ee7954db7791f7dba0d0a60c296cfdde356f0714\$lut for cells of type $lut.
  11464. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11110100 for cells of type $lut.
  11465. Using template $paramod$fb5496753f4cd235e71c284b2ffee9d41a960ca2\$lut for cells of type $lut.
  11466. Using template $paramod$d4fae2c0d9ad2966369cd4e39b81c71bcd1327c9\$lut for cells of type $lut.
  11467. Using template $paramod$4cab3b31c601551ff65536bf4f533afa0b2094ee\$lut for cells of type $lut.
  11468. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01010001 for cells of type $lut.
  11469. Using template $paramod$c24ed72ebb67e9ead6029e42e909ef7fc0abbb11\$lut for cells of type $lut.
  11470. Using template $paramod$0bf8365142e452e4b96ef0dc44149e6371e8cfe3\$lut for cells of type $lut.
  11471. Using template $paramod$d8804b47fe6c89d4302d34b95a82bd35efdd4ea0\$lut for cells of type $lut.
  11472. Using template $paramod$c28a8b7ce0535d090c4cfb52e9c74affd52b110c\$lut for cells of type $lut.
  11473. Using template $paramod$b1680225cc6a5792caa95f54b8b3218fae21705d\$lut for cells of type $lut.
  11474. Using template $paramod$b19c924e82e74e12bbbdaf9e71fc6291c87db11e\$lut for cells of type $lut.
  11475. Using template $paramod$562f871270d8c7c5695cf742d525d0699e0efdce\$lut for cells of type $lut.
  11476. Using template $paramod$d521d1c5bdb026589a8e9968bf0fdc6f35c43580\$lut for cells of type $lut.
  11477. Using template $paramod$5fe96f355639de70b97b40ec080e72e3eaec0c04\$lut for cells of type $lut.
  11478. Using template $paramod$a670b08a47dd8a34f954c50cd06e9996d77e8467\$lut for cells of type $lut.
  11479. Using template $paramod$66caeb00a39d236782a97659e3bd99621b74681b\$lut for cells of type $lut.
  11480. Using template $paramod$bd52683f5d7d773e55760c18e3bff8f6a3bc6c6d\$lut for cells of type $lut.
  11481. Using template $paramod$2179d3bc72bf0dec4b560f3c7f432f7901bacb58\$lut for cells of type $lut.
  11482. Using template $paramod$f28aede8a07a53ff316cc6f8627c7d8a2337a88a\$lut for cells of type $lut.
  11483. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00110010 for cells of type $lut.
  11484. Using template $paramod$480273aedff341609bb0d70e79d3d629c4101764\$lut for cells of type $lut.
  11485. Using template $paramod$8512f4fb47fa9596f76cdbe5b407a5b54df368e7\$lut for cells of type $lut.
  11486. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10000100 for cells of type $lut.
  11487. Using template $paramod$5183b4454493323aca6310872659274580528fcf\$lut for cells of type $lut.
  11488. Using template $paramod$ef23bc364ceee2a8dc5800c611734cfdf1fda657\$lut for cells of type $lut.
  11489. Using template $paramod$2d73cf21e7a3b53006ebbae47ecc48e73975ec46\$lut for cells of type $lut.
  11490. Using template $paramod$9a6965d4f53d69e345bd8d48283856520a30225e\$lut for cells of type $lut.
  11491. Using template $paramod$32ccf65669c41e1e3bce1f16051f6d60ad96a2a0\$lut for cells of type $lut.
  11492. Using template $paramod$19451f719aa4a75f15cb977ed4212a1c1a1550e9\$lut for cells of type $lut.
  11493. Using template $paramod$d6ca727e39f31d51d29072e0f33aa09c65e37336\$lut for cells of type $lut.
  11494. Using template $paramod$20235ca863361fbc253329cfc7eeea38c77404dc\$lut for cells of type $lut.
  11495. Using template $paramod$9749a72623ffeb0da03674bad641afecefb354e8\$lut for cells of type $lut.
  11496. Using template $paramod$d3ef1e4c51780d0a5425e32110ea022c31c1404f\$lut for cells of type $lut.
  11497. Using template $paramod$66658cbed86a8310f9b7ba1190d35eff90ee749b\$lut for cells of type $lut.
  11498. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00000111 for cells of type $lut.
  11499. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10001110 for cells of type $lut.
  11500. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10100010 for cells of type $lut.
  11501. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10001010 for cells of type $lut.
  11502. Using template $paramod$ab5c02e04aac2a755a7077d4a47f25280e3bc179\$lut for cells of type $lut.
  11503. Using template $paramod$bbb10333e84a7e80f65e1494ebbfbf3f28568fcd\$lut for cells of type $lut.
  11504. Using template $paramod$4cf5305612d86489c1a6171729557670bf08582e\$lut for cells of type $lut.
  11505. Using template $paramod$fe70bb3280659663b8fa2b45f42fda9ccf4ccfaa\$lut for cells of type $lut.
  11506. Using template $paramod$38b3d8f5fe89b555d34f1c064cf7c542780e0b8c\$lut for cells of type $lut.
  11507. Using template $paramod$19f568890ed784cb1efc3ce1b67eed20a6c54d9a\$lut for cells of type $lut.
  11508. Using template $paramod$f5c5b56521a6811444a94cf8aec11258bf0a108d\$lut for cells of type $lut.
  11509. Using template $paramod$6695e6c06e585275b2860979e9fd110a3e22d5f7\$lut for cells of type $lut.
  11510. Using template $paramod$54ef21ccddfa27629768f219f304bb4163ac6894\$lut for cells of type $lut.
  11511. Using template $paramod$8b24407096beec47292ddeb1567a058197a320b9\$lut for cells of type $lut.
  11512. Using template $paramod$b40ed8783cd24943f4c31bddb9063d9895eb569a\$lut for cells of type $lut.
  11513. Using template $paramod$0e021b5ab9c9dffe82b887dcb2beb3fac2b87759\$lut for cells of type $lut.
  11514. Using template $paramod$b45e5cb971154e30a797eecb0461619c3eeae12d\$lut for cells of type $lut.
  11515. Using template $paramod$2af7fd5c408581c2b6e80048f54948ce05a232f8\$lut for cells of type $lut.
  11516. Using template $paramod$debd9b669717840687fe3e52f7822c4b59921848\$lut for cells of type $lut.
  11517. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01100000 for cells of type $lut.
  11518. Using template $paramod$8d41622da0aa87c171e3ad24745637a8e540bedc\$lut for cells of type $lut.
  11519. Using template $paramod$ec6c71d259df49ae0842190ffaff1179e43a8db4\$lut for cells of type $lut.
  11520. Using template $paramod$f54c0ffd7b041ca43eac7710ab19c0666d826c22\$lut for cells of type $lut.
  11521. Using template $paramod$22dec7e8c4f4b1c3e62879fa2207e0c39047bbd3\$lut for cells of type $lut.
  11522. Using template $paramod$694c95659b447cef99dd4cdbd49b87dfd5f6c806\$lut for cells of type $lut.
  11523. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01111111 for cells of type $lut.
  11524. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01010111 for cells of type $lut.
  11525. Using template $paramod$e098d38d00670bf1f66f3fff32b3e8f0f799bc39\$lut for cells of type $lut.
  11526. Using template $paramod$b812877c6826ca7c644c48fb02bb69a3ab237674\$lut for cells of type $lut.
  11527. Using template $paramod$95437c548840ae5673e70f982edd362a76476eb8\$lut for cells of type $lut.
  11528. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11110111 for cells of type $lut.
  11529. Using template $paramod$7c3833e617307006af30409ed68b65a011a1121e\$lut for cells of type $lut.
  11530. Using template $paramod$bdef8a4236b2618ef82ff6d08787d9d3dfc92d2b\$lut for cells of type $lut.
  11531. Using template $paramod$95454eff2b0bb0c7425b41d029b34bbd8fbe521a\$lut for cells of type $lut.
  11532. Using template $paramod$5f892cbccc8e0b846db30004f6a576906c92120c\$lut for cells of type $lut.
  11533. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11111101 for cells of type $lut.
  11534. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10110011 for cells of type $lut.
  11535. Using template $paramod$9d1915f40715c7f715525567f7dfd63744c26c4a\$lut for cells of type $lut.
  11536. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10101110 for cells of type $lut.
  11537. Using template $paramod$d9e869de4ea8677851dc452d380224cee441f821\$lut for cells of type $lut.
  11538. Using template $paramod$b4f15f202f50520dbc381cd0880ac94f830f05a8\$lut for cells of type $lut.
  11539. No more expansions possible.
  11540. <suppressed ~8498 debug messages>
  11541. Removed 0 unused cells and 7819 unused wires.
  11542. 63.52. Executing AUTONAME pass.
  11543. Renamed 90530 objects in module top (99 iterations).
  11544. <suppressed ~8886 debug messages>
  11545. 63.53. Executing HIERARCHY pass (managing design hierarchy).
  11546. 63.53.1. Analyzing design hierarchy..
  11547. Top module: \top
  11548. 63.53.2. Analyzing design hierarchy..
  11549. Top module: \top
  11550. Removed 0 unused modules.
  11551. Module top directly or indirectly displays text -> setting "keep" attribute.
  11552. 63.54. Printing statistics.
  11553. === top ===
  11554. Number of wires: 4977
  11555. Number of wire bits: 26795
  11556. Number of public wires: 4977
  11557. Number of public wire bits: 26795
  11558. Number of ports: 15
  11559. Number of port bits: 30
  11560. Number of memories: 0
  11561. Number of memory bits: 0
  11562. Number of processes: 0
  11563. Number of cells: 6533
  11564. $print 8
  11565. $scopeinfo 195
  11566. SB_CARRY 552
  11567. SB_DFF 651
  11568. SB_DFFE 899
  11569. SB_DFFER 178
  11570. SB_DFFES 9
  11571. SB_DFFESR 78
  11572. SB_DFFESS 6
  11573. SB_DFFR 127
  11574. SB_DFFS 29
  11575. SB_DFFSR 93
  11576. SB_DFFSS 8
  11577. SB_GB 2
  11578. SB_IO 23
  11579. SB_LEDDA_IP 1
  11580. SB_LUT4 3641
  11581. SB_MAC16 4
  11582. SB_PLL40_2F_PAD 1
  11583. SB_RAM40_4K 15
  11584. SB_RAM40_4KNW 8
  11585. SB_RGBA_DRV 1
  11586. SB_SPRAM256KA 4
  11587. 63.55. Executing CHECK pass (checking for obvious problems).
  11588. Checking module top...
  11589. Found and reported 0 problems.
  11590. 63.56. Executing JSON backend.
  11591. Warnings: 17 unique messages, 463 total
  11592. End of script. Logfile hash: 04a466be93, CPU: user 47.32s system 0.28s, MEM: 153.20 MB peak
  11593. Yosys 0.43 (git sha1 ead4718e5, g++ 14.2.1 -march=x86-64 -mtune=generic -O2 -fno-plt -fexceptions -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/build/yosys/src=/usr/src/debug/yosys -fPIC -Os)
  11594. Time spent: 25% 77x opt_expr (13 sec), 21% 55x opt_clean (11 sec), ...